Back to Search Start Over

Memory hierarchy characterization of SPEC CPU2006 and SPEC CPU2017 on the Intel Xeon Skylake-SP.

Authors :
Navarro-Torres A
Alastruey-Benedé J
Ibáñez-Marín P
Viñals-Yúfera V
Source :
PloS one [PLoS One] 2019 Aug 01; Vol. 14 (8), pp. e0220135. Date of Electronic Publication: 2019 Aug 01 (Print Publication: 2019).
Publication Year :
2019

Abstract

SPEC CPU is one of the most common benchmark suites used in computer architecture research. CPU2017 has recently been released to replace CPU2006. In this paper we present a detailed evaluation of the memory hierarchy performance for both the CPU2006 and single-threaded CPU2017 benchmarks. The experiments were executed on an Intel Xeon Skylake-SP, which is the first Intel processor to implement a mostly non-inclusive last-level cache (LLC). We present a classification of the benchmarks according to their memory pressure and analyze the performance impact of different LLC sizes. We also test all the hardware prefetchers showing they improve performance in most of the benchmarks. After comprehensive experimentation, we can highlight the following conclusions: i) almost half of SPEC CPU benchmarks have very low miss ratios in the second and third level caches, even with small LLC sizes and without hardware prefetching, ii) overall, the SPEC CPU2017 benchmarks demand even less memory hierarchy resources than the SPEC CPU2006 ones, iii) hardware prefetching is very effective in reducing LLC misses for most benchmarks, even with the smallest LLC size, and iv) from the memory hierarchy standpoint the methodologies commonly used to select benchmarks or simulation points do not guarantee representative workloads.<br />Competing Interests: The authors have declared that no competing interests exist.

Details

Language :
English
ISSN :
1932-6203
Volume :
14
Issue :
8
Database :
MEDLINE
Journal :
PloS one
Publication Type :
Academic Journal
Accession number :
31369592
Full Text :
https://doi.org/10.1371/journal.pone.0220135