Back to Search Start Over

A 16-Core Voltage-Stacked System With Adaptive Clocking and an Integrated Switched-Capacitor DC–DC Converter.

Authors :
Lee, Sae Kyu
Tong, Tao
Zhang, Xuan
Brooks, David
Wei, Gu-Yeon
Source :
IEEE Transactions on Very Large Scale Integration (VLSI) Systems; Apr2017, Vol. 25 Issue 4, p1271-1284, 14p
Publication Year :
2017

Abstract

This paper presents a 16-core voltage-stacked system with adaptive frequency clocking (AFClk) and a fully integrated voltage regulator that demonstrates efficient on-chip power delivery for multicore systems. Voltage stacking alleviates power delivery inefficiencies due to off-chip parasitics but adds complexity to combat internal voltage noise. To address the corresponding issue of internal voltage noise, the system utilizes an AFClk scheme with an efficient switched-capacitor dc–dc converter to mitigate noise on the stack layers and to improve system performance and efficiency. Experimental results demonstrate robust voltage noise mitigation as well as the potential of voltage stacking as a highly efficient power delivery scheme. This paper also illustrates that augmenting the hardware techniques with intelligent workload allocation that exploits the inherent properties of voltage stacking can preemptively reduce the interlayer activity mismatch and improve system efficiency. [ABSTRACT FROM PUBLISHER]

Details

Language :
English
ISSN :
10638210
Volume :
25
Issue :
4
Database :
Complementary Index
Journal :
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Publication Type :
Academic Journal
Accession number :
122013719
Full Text :
https://doi.org/10.1109/TVLSI.2016.2633805