Back to Search Start Over

Chip Temperature Optimization for Dark Silicon Many-Core Systems.

Authors :
Li, Mengquan
Liu, Weichen
Yang, Lei
Chen, Peng
Chen, Chao
Source :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems; May2018, Vol. 37 Issue 5, p941-953, 13p
Publication Year :
2018

Abstract

In the dark silicon era, a fundamental problem is given a real-time computation demand, how to determine if an on-chip multiprocessor system is able to accept this demand and to maintain its reliability by keeping every core within a safe temperature range. In this paper, a practical thermal model is described for quick chip temperature prediction. Integrated with the thermal model, we present a mixed integer linear programming (MILP) model to find the optimal task-to-core assignment with the minimum chip peak temperature. For the worst case where even the minimum chip peak temperature exceeds the safe temperature, a heuristic algorithm, called temperature-constrained task selection (TCTS), is proposed to optimize the system performance within chip safe temperature. The optimality of the TCTS algorithm is formally proven. Extensive performance evaluations show that our thermal model achieves an average prediction accuracy of 0.0741 °C within 0.2392 ms. The MILP model reduces chip peak temperature of ~10 °C comparing with traditional techniques. The system performance is increased by 19.8% under safe temperature limitation. Due to the satisfying scalability of our MILP formulation, the chip peak temperature is further decreased by 5.06 °C via the TCTS algorithm. The feasibility of this systematical technique is testified in a real case study as well. [ABSTRACT FROM PUBLISHER]

Details

Language :
English
ISSN :
02780070
Volume :
37
Issue :
5
Database :
Complementary Index
Journal :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems
Publication Type :
Academic Journal
Accession number :
129266264
Full Text :
https://doi.org/10.1109/TCAD.2017.2740306