Back to Search Start Over

High-Performance and Small-Form Factor Near-Field Inductive Coupling for 3-D NoC.

Authors :
Gopal, Srinivasan
Das, Sourav
Agarwal, Pawan
Ali, Sheikh Nijam
Heo, Deukhyoun
Pande, Partha Pratim
Source :
IEEE Transactions on Very Large Scale Integration (VLSI) Systems; Dec2018, Vol. 26 Issue 12, p2921-2934, 14p
Publication Year :
2018

Abstract

Wireless interconnects using near-field inductive coupling (NFIC) enables contactless vertical communications necessary for the design of energy efficient and robust 3-D manycore systems. However, the achievable performance, energy efficiency, bandwidth, and associated area overhead of NFICs are intertwined imposing significant design challenges and tradeoffs to explore the optimum link configuration. To address these challenges, in this paper, we propose a holistic design approach for exploring energy-efficient NFICs and target to exploit the benefits of the NFICs in the context of efficient and reliable network-on-chip (NoC) design. The proposed design framework employs statistical link analysis to select optimum NFIC-link configuration and is significantly more efficient in terms of energy efficiency and area overhead compared to the state-of-the-art counterparts. We demonstrate that 3-D NoCs incorporating NFIC-enabled links outperform through-silicon-via (TSV) counterparts. In addition, the overall reliability of TSV- and NFIC-enabled hybrid 3-D NoC is significantly better than only TSV-based NoCs in order to counteract the electromigration and workload-induced stress challenges. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
10638210
Volume :
26
Issue :
12
Database :
Complementary Index
Journal :
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Publication Type :
Academic Journal
Accession number :
133371250
Full Text :
https://doi.org/10.1109/TVLSI.2018.2865704