Back to Search Start Over

A Streaming Data Processing Architecture Based on Lookup Tables.

Authors :
Yuemaier, Aximu
Chen, Xiaogang
Qian, Xingyu
Dai, Weibang
Li, Shunfen
Song, Zhitang
Source :
Electronics (2079-9292); Jun2023, Vol. 12 Issue 12, p2725, 12p
Publication Year :
2023

Abstract

Processing in memory (PIM) is a new computing paradigm that stores the function values of some input modes in a lookup table (LUT) and retrieves their values when similar input modes are encountered (instead of performing online calculations), which is an effective way to save energy. In the era of the Internet of Things, the processing of massive data generated by the front-end requires low-power and real-time processing. This paper investigates an energy-efficient processing architecture based on table lookup in phase-change memory (PCM). This architecture replaces logical-based calculations with LUT lookups to minimize power consumption and operation latency. In order to improve the efficiency of table lookup, the RISC-V instruction set has included extended lookup and data stream transmission instructions. Finally, the system architecture is validated by hardware simulation, and the performance of computing the fast Fourier transform (FFT) application is evaluated. The proposed architecture effectively improves the execution efficiency and reduces the power consumption of data flow operations. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
20799292
Volume :
12
Issue :
12
Database :
Complementary Index
Journal :
Electronics (2079-9292)
Publication Type :
Academic Journal
Accession number :
164612159
Full Text :
https://doi.org/10.3390/electronics12122725