Back to Search Start Over

A Framework for Cosynthesis of Memory and Communication Architectures for MPSoC.

Authors :
Pasricha, Sudeep
Dutt, Nikil D.
Source :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems; Mar2007, Vol. 26 Issue 3, p408-420, 13p, 2 Black and White Photographs, 6 Diagrams, 6 Charts, 9 Graphs
Publication Year :
2007

Abstract

Memory and communication architectures have a significant impact on the cost, performance, and time-to-market of complex multiprocessor system-on-chip (MPSoC) designs. The memory architecture dictates most of the data traffic flow in a design, which in turn influences the design of the communication architecture. Thus, there is a need to cosynthesize the memory and communication architectures to avoid making suboptimal design decisions. This is in contrast to traditional platform-based design approaches where memory and communication architectures are synthesized separately. In this paper, the authors propose an automated application-specific cosynthesis framework for memory and communication architecture (COSMECA) in MPSoC designs. The primary objective is to design a communication architecture having the least number of buses, which satisfies performance and memory-area constraints, while the secondary objective is to reduce the memory-area cost. Results of applying COSMECA to several industrial strength MPSoC applications from the networking domain indicate a saving of as much as 40% in number of buses and 29% in memory area compared to the traditional approach. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
02780070
Volume :
26
Issue :
3
Database :
Complementary Index
Journal :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems
Publication Type :
Academic Journal
Accession number :
24362572
Full Text :
https://doi.org/10.1109/TCAD.2006.884487