Back to Search Start Over

On the Impact of Within-Die Process Variation in GALS-Based NoC Performance.

Authors :
Hernandez, Carles
Roca, Antoni
Silla, Federico
Flich, Jose
Duato, Jose
Source :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems; Feb2012, Vol. 31 Issue 2, p294-307, 14p
Publication Year :
2012

Abstract

Current integration scales allow designing chip multiprocessors (CMP), where cores are interconnected by means of a network-on-chip (NoC). Unfortunately, the small feature size of current integration scales causes some unpredictability in manufactured devices because of process variation. In NoCs, variability may affect links and routers causing them not to match the parameters established at design time. In this paper, we first analyze the way that manufacturing deviations affect the components of a NoC by applying a new comprehensive and detailed within-die variability model to 200 instances of an 8\,\times\,8 mesh NoC synthesized using 45 nm technology. Later, we show that GALS-based NoCs present communication bottlenecks under process variation which cannot be avoided by using just device-level solutions but higher level architectural approaches are required. Therefore, to overcome this performance reduction, we draft a novel architectural approach, called performance domains, intended to reduce the negative impact of variability on application execution time. This mechanism is suitable when several applications are simultaneously running in the CMP chip. [ABSTRACT FROM AUTHOR]

Details

Language :
English
ISSN :
02780070
Volume :
31
Issue :
2
Database :
Complementary Index
Journal :
IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems
Publication Type :
Academic Journal
Accession number :
71539029
Full Text :
https://doi.org/10.1109/TCAD.2011.2170071