Back to Search Start Over

PATRONoC: Parallel AXI Transport Reducing Overhead for Networks-on-Chip targeting Multi-Accelerator DNN Platforms at the Edge

Authors :
Jain, Vikram
Cavalcante, Matheus
Bruschi, Nazareno
Rogenmoser, Michael
Benz, Thomas
Kurth, Andreas
Rossi, Davide
Benini, Luca
Verhelst, Marian
Publication Year :
2023

Abstract

Emerging deep neural network (DNN) applications require high-performance multi-core hardware acceleration with large data bursts. Classical network-on-chips (NoCs) use serial packet-based protocols suffering from significant protocol translation overheads towards the endpoints. This paper proposes PATRONoC, an open-source fully AXI-compliant NoC fabric to better address the specific needs of multi-core DNN computing platforms. Evaluation of PATRONoC in a 2D-mesh topology shows 34% higher area efficiency compared to a state-of-the-art classical NoC at 1 GHz. PATRONoC's throughput outperforms a baseline NoC by 2-8X on uniform random traffic and provides a high aggregated throughput of up to 350 GiB/s on synthetic and DNN workload traffic.<br />Comment: Accepted and presented at 60th DAC

Details

Database :
arXiv
Publication Type :
Report
Accession number :
edsarx.2308.00154
Document Type :
Working Paper