Back to Search Start Over

Basilisk: Achieving Competitive Performance with Open EDA Tools on an Open-Source Linux-Capable RISC-V SoC

Authors :
Sauter, Phillippe
Benz, Thomas
Scheffler, Paul
Jiang, Zerun
Muheim, Beat
Gürkaynak, Frank K.
Benini, Luca
Publication Year :
2024

Abstract

We introduce Basilisk, an optimized application-specific integrated circuit (ASIC) implementation and design flow building on the end-to-end open-source Iguana system-on-chip (SoC). We present enhancements to synthesis tools and logic optimization scripts improving quality of results (QoR), as well as an optimized physical design with an improved power grid and cell placement integration enabling a higher core utilization. The tapeout-ready version of Basilisk implemented in IHP's open 130 nm technology achieves an operation frequency of 77 MHz (51 logic levels) under typical conditions, a 2.3x improvement compared to the baseline open-source EDA design flow presented in Iguana, and a higher 55 % core utilization compared to 50 % in the baseline design. Through collaboration with EDA tool developers and domain experts, Basilisk exemplifies a synergistic effort towards competitive open-source electronic design automation (EDA) tools for research and industry applications.<br />Comment: 2 pages, 1 figure, accepted as a poster at the RISC-V Summit Europe 2024

Details

Database :
arXiv
Publication Type :
Report
Accession number :
edsarx.2405.03523
Document Type :
Working Paper