Back to Search Start Over

Occamy: A 432-Core 28.1 DP-GFLOP/s/W 83% FPU Utilization Dual-Chiplet, Dual-HBM2E RISC-V-based Accelerator for Stencil and Sparse Linear Algebra Computations with 8-to-64-bit Floating-Point Support in 12nm FinFET

Authors :
Paulin, Gianna
Scheffler, Paul
Benz, Thomas
Cavalcante, Matheus
Fischer, Tim
Eggimann, Manuel
Zhang, Yichao
Wistoff, Nils
Bertaccini, Luca
Colagrande, Luca
Ottavi, Gianmarco
Gürkaynak, Frank K.
Rossi, Davide
Benini, Luca
Publication Year :
2024

Abstract

We present Occamy, a 432-core RISC-V dual-chiplet 2.5D system for efficient sparse linear algebra and stencil computations on FP64 and narrow (32-, 16-, 8-bit) SIMD FP data. Occamy features 48 clusters of RISC-V cores with custom extensions, two 64-bit host cores, and a latency-tolerant multi-chiplet interconnect and memory system with 32 GiB of HBM2E. It achieves leading-edge utilization on stencils (83 %), sparse-dense (42 %), and sparse-sparse (49 %) matrix multiply.<br />Comment: 2 pages, 7 figures. Accepted at the 2024 IEEE Symposium on VLSI Technology & Circuits

Details

Database :
arXiv
Publication Type :
Report
Accession number :
edsarx.2406.15068
Document Type :
Working Paper