Back to Search Start Over

Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing

Authors :
Feng Sun
Chen Li
Chaochao Fu
Xiangbiao Zhou
Jun Luo
Wei Zou
Zhi-Jun Qiu
Dongping Wu
Source :
Materials, Vol 11, Iss 4, p 471 (2018)
Publication Year :
2018
Publisher :
MDPI AG, 2018.

Abstract

Dopant-segregated source/drain contacts in a p-channel Schottky-barrier metal-oxide semiconductor field-effect transistor (SB-MOSFET) require further hole Schottky barrier height (SBH) regulation toward sub-0.1 eV levels to improve their competitiveness with conventional field-effect transistors. Because of the solubility limits of dopants in silicon, the requirements for effective hole SBH reduction with dopant segregation cannot be satisfied using mono-implantation. In this study, we demonstrate a potential solution for further SBH tuning by implementing the dual implantation of boron (B) and aluminum (Al) in combination with microwave annealing (MWA). By using such a method, not only has the lowest hole SBH ever with 0.07 eV in NiSi/n-Si contacts been realized, but also the annealing duration of MWA was sharply reduced to 60 s. Moreover, we investigated the SBH tuning mechanisms of the dual-implanted diodes with microwave annealing, including the dopant segregation, activation effect, and dual-barrier tuning effect of Al. With the selection of appropriate implantation conditions, the dual implantation of B and Al combined with the MWA technique shows promise for the fabrication of future p-channel SB-MOSFETs with a lower thermal budget.

Details

Language :
English
ISSN :
19961944
Volume :
11
Issue :
4
Database :
Directory of Open Access Journals
Journal :
Materials
Publication Type :
Academic Journal
Accession number :
edsdoj.4b60100b51124bba87e9e74455cdc031
Document Type :
article
Full Text :
https://doi.org/10.3390/ma11040471