Search

Showing total 743 results

Search Constraints

Start Over You searched for: Search Limiters Available in Library Collection Remove constraint Search Limiters: Available in Library Collection Topic plasmas Remove constraint Topic: plasmas Publication Type Electronic Resources Remove constraint Publication Type: Electronic Resources Journal ieee transactions on plasma science Remove constraint Journal: ieee transactions on plasma science Database Academic Search Index Remove constraint Database: Academic Search Index
743 results

Search Results

1. Behavior of Historical Printing Inks on Paper in High-Frequency Cold Plasma Discharges.

2. A Flexible Paper-Based Microdischarge Array Device: A Novel Route to Cost-Effective and Simple Setup Microplasma Generation Devices.

3. Special Issue on Selected Papers of the 16th Latin American Workshop on Plasma Physics (LAWPP 2017).

4. Guest Editorial Special Issue on Plenary and Invited Papers From ICOPS-BEAMS 2015.

6. Guest Editorial Special Issue on Plenary and Invited Papers From PPPS 2013.

7. Plasma Surface Modification of Flexible Substrates to Improve Grafting for Various Gas Sensing Applications: A Review.

8. Experimental Determination of the Current Constriction Characteristics at the Anode of AMF Vacuum Arcs.

9. Guest Editorial: Special Issue on Plenary and Invited Papers from ICOPS-BEAMS 2014.

10. Study of a Transportation Process of Dust Particles in the Plasma of Radio Frequency Discharge.

11. Numerical Simulations of Dust Dynamics Around Small Asteroids.

12. Multineedle Langmuir Probe Operation and Acute Probe Current Susceptibility to Spacecraft Potential.

13. Generation of Nitrogen Emission Line at 337 nm in the Laboratory and at High Altitudes.

14. Study on the EM Loads Distribution on Updated HCCB Blanket Inboard and Outboard Blanket Vertical Segments.

15. Time-Resolved Electron Density Measurement Characterization of E–H-Modes for Inductively Coupled Plasma Instabilities.

16. Design of Real-Time Control in Poloidal Field Power Supply Based on Finite-State Machine.

17. Numerical Investigation of Atomic Oxygen Production and Influence of Power Deposition for a Helium–Oxygen Atmospheric-Pressure Plasma.

18. Analysis of Instability Phenomena at Current Interruption in Vacuum Arc Discharge Compared With Silver or Copper Electrode.

19. Two-Electron Pseudodot System With Laser Effect in Plasmas.

20. Atmospheric Pressure DBD Low-Temperature Plasma Reactor for the Treatment of Sugarcane Bagasse.

21. Protection Against High-Energy Breakdowns in Neutral Beam Systems for Future Fusion Reactors.

22. Tunability Study of Plasma Frequency Selective Surface Based on FDTD.

23. Set of the Electron Collision Cross Sections for Methane Molecule.

24. Hybrid Analog and Digital Control of a High Current Converter Based on an EDLC Bank for Rapidly Decreasing Input Voltage.

25. Effects of Non-Maxwellian Electron Distribution Function to the Propagation Coefficients of Electromagnetic Waves in Plasma.

26. Modeling and Simulation of the Effect of Cathode Gas Flow on the Lifetime and Performance of an Annular-Geometry Ion Engine.

27. X-Ray Line Polarization of Ne-Like Mo Spectra from X-pinch Plasmas.

28. High-Quality Implosion of Overmassed $Z$ -Pinch in the Experiment With Magnetocumulative Generator.

29. A Set of Benchmark Tests for Validation of 3-D Particle in Cell Methods.

30. A High-Repetition-Rate Bipolar Nanosecond Pulse Generator for Dielectric Barrier Discharge Based on a Magnetic Pulse Compression System.

31. Integration of the Neutral Beam Injector System Into the DCLL Breeding Blanket for the EU DEMO.

32. Shutdown Dose Rate Calculation for the Preliminary Concept of K-DEMO Equatorial Port Area.

33. A Rogowski Digital Integrator With Comb Filter Signal Processing System.

34. Plasma Purification of Halogen Volatile Organic Compounds.

35. Mathematical Simulation of Processes in ICP/RF Plasma Torch for Plasma Chemical Reactions.

36. Numerical Investigation of the Surface Wave Formation in a Microwave Plasma Torch.

37. An Investigation on the Application of Plasma-Activated Gas to Laminar Lifted Nonpremixed Jet Flames.

38. The Effect of Oxygen and Argon Gas Flow Rate on OH Radical Production and Dye Decolorization by Pulsed Discharge in Spray Droplet Reactor.

39. Study on the High-Voltage Solid-State Pulsed-Power Modulator for Parallel Reactor Operation.

40. A Simplified Analytical Model for the Analysis of Magnetomechanical Dynamic Response of a Test Module in J-TEXT Tokamak.

41. Prospects of Using a Pulsed Electrostatic Tractor With Nominal Geosynchronous Conditions.

42. The Europa Charging Environment.

43. Study on the Effective Ionization Rate of Atmospheric Corona Discharge Plasmas by Considering Humidity.

44. Oxides Yield Comparison Between DBD and APPJ in Water–Gas Mixture.

45. Nonlinear Characteristics of Plasma Induced by an Electron Beam Irradiating the Target Material.

46. Space-Charge Field Assisted Electron Acceleration by Plasma Wave in Magnetic Plasma Channel.

47. Oxidation and Biodecontamination Effects of Impulsive Discharges in Atmospheric Air.

48. Quasi-Equilibrium Model for Separating the Plasma and the Conductor in the Galatea Device.

49. Power Amplifiers Based on SiC Technology for MHD Mode Control in Fusion Experiments.

50. Arbitrary Amplitude Oblique Electrostatic Solitary Waves in a Degenerate Cold Dusty Magnetoplasma.