Search

Showing total 208 results

Search Constraints

Start Over You searched for: Topic low-power Remove constraint Topic: low-power Publication Year Range Last 10 years Remove constraint Publication Year Range: Last 10 years Database Academic Search Index Remove constraint Database: Academic Search Index
208 results

Search Results

1. ASIC Design of Low Power Sobel Edge Detection Filter: An Analog Approach.

2. Flexible Organic Electrochemical Transistors for Energy-Efficient Neuromorphic Computing.

3. Constrained Flooding Based on Time Series Prediction and Lightweight GBN in BLE Mesh.

4. A 12T low-power full adder cell with a novel dynamic circuit.

5. An area‐effective and low‐power single‐slope ADC for DCG imaging CMOS image sensor.

6. An Analysis of Blockchain-Based IoT Sensor Network Distributed Denial of Service Attacks.

7. Smart Sticker Ultra-Low-Power Shock Detection in the Supply Chain.

8. Low-power and high-speed SRAM cells for double-node-upset recovery.

9. High-Speed, Low-Power, and Area-Efficient 5T4M Memristor-Based Ternary Content Addressable Memory.

10. FinFET-based 11T sub-threshold SRAM with improved stability and power.

11. Low-power and low-energy CNFET-based approximate full adder cell for image processing applications.

12. A Low-Power Wireless System for Predicting Early Signs of Sudden Cardiac Arrest Incorporating an Optimized CNN Model Implemented on NVIDIA Jetson.

13. Analysis of the Impact of the Inductive Peaking Bandwidth Enhancement Technique on the Noise Performance of CMOS Optical Amplifiers.

14. Study of Energy-Efficient Biomedical Data Compression Methods in the Wireless Body Area Networks (WBANs) and Remote Healthcare Networks.

15. An evaluation of relational and NoSQL distributed databases on a low-power cluster.

16. A 40-nm low-power WiFi SoC with clock gating and power management strategy.

17. A Schmitt-Trigger-Based Low-Voltage 11 T SRAM Cell for Low-Leakage in 7-nm FinFET Technology.

18. An approximate randomization-based neural network with dedicated digital architecture for energy-constrained devices.

19. Design and Analysis of Low-Power Bulk-Driven Operational Transconductance Amplifier: A Self-Cascode Partial Positive Feedback Approach.

20. A 134-nW Single BJT Bandgap Voltage and Current Reference in 0.18-µm CMOS.

21. SMS-CAM: Shared matchline scheme for content addressable memory.

22. A Reliable Low Standby Power 10T SRAM Cell With Expanded Static Noise Margins.

23. Spiking Neural Networks for Structural Health Monitoring.

24. A Single-Bitline 9T SRAM for Low-Power Near-Threshold Operation in FinFET Technology.

25. Design of a Highly Stable and Robust 10T SRAM Cell for Low-Power Portable Applications.

26. Improved read/write assist mechanism for 10‐transistor static random access memory cell.

27. A 12-bit 2.5-bit/phase two-stage cyclic ADC with phase scaling and low-power Sub-ADC for CMOS image sensor.

28. A power-efficient CMOS image sensor with current-mode 1-bit log-gradient feature extractor for always-on object detection.

29. Design of a Bulk-Driven High-Gain OTA Using Positive Feedback.

30. Ultrahigh-Sensitivity Piezoelectric AlN MEMS Speakers Enabled by Analytical Expressions.

31. Accurately Modeling Zero-Bias Diode-Based RF Power Harvesters With Wide Adaptability to Frequency and Power.

32. Hardware-efficient approximate multiplier architectures for media processing applications.

33. A new family of CMOS inverter-based OTAs for biomedical and healthcare applications.

34. A low-power dynamic comparator for low-offset applications.

35. Low-noise and low power CMOS photoreceptor using split-length MOSFET.

36. Low-power filter design using quasi-floating gate and level shifter approaches for biological healthcare applications.

37. A 10 bits 26 mW 0.08 mm[formula omitted] digital RF-DAC for sub-GHz IoTs.

38. A new low-power Dynamic-GDI full adder in CNFET technology.

39. A New Low Power, Area Efficient 4-bit Carry Look Ahead Adder in CNFET Technology.

40. A High-Speed and Power-Efficient Approximate Adder for Image Processing Applications.

41. A power–performance partitioning approach for low‐power DA‐based FIR filter design with emphasis on datapath and controller.

42. A 0.11–0.38 pJ/cycle Differential Ring Oscillator in 65 nm CMOS for Robust Neurocomputing.

43. Adaptive Learning-Based Compressive Sampling for Low-power Wireless Implants.

44. A 4–6 GHz Single-Ended to Differential-Ended Low-Noise Amplifier for IEEE 802.11ax Wireless Applications with Inherent Complementary Distortion Cancellation.

45. A 56-to-66 GHz CMOS Low-Power Phased-Array Receiver Front-End With Hybrid Phase Shifting Scheme.

46. A 33-ppm/°C 240-nW 40-nm CMOS Wakeup Timer Based on a Bang-Bang Digital-Intensive Frequency-Locked-Loop for IoT Applications.

47. A 48 pW, 0.34 V, 0.019%/V Line Sensitivity Self-Biased Subthreshold Voltage Reference With DIBL Effect Compensation.

48. A Real-Time 17-Scale Object Detection Accelerator With Adaptive 2000-Stage Classification in 65 nm CMOS.

49. Design of an Inverter-Base, Active-Feedback, Low-Power Transimpedance Amplifier Operating at 10 Gbps.

50. A FinFET-based low-power, stable 8T SRAM cell with high yield.