314 results on '"Yici Cai"'
Search Results
2. Intelligent and kernelized placement: A survey
- Author
-
Rui Hao, Yici Cai, and Qiang Zhou
- Subjects
Hardware and Architecture ,Electrical and Electronic Engineering ,Software - Published
- 2022
3. A survey on machine learning-based routing for VLSI physical design
- Author
-
Lin Li, Yici Cai, and Qiang Zhou
- Subjects
Hardware and Architecture ,Electrical and Electronic Engineering ,Software - Published
- 2022
4. Microarchitecture Power Modeling via Artificial Neural Network and Transfer Learning
- Author
-
Jianwang Zhai, Yici Cai, and Bei Yu
- Published
- 2023
5. Static Probability Analysis Guided RTL Hardware Trojan Test Generation
- Author
-
Haoyi Wang, Qiang Zhou, and Yici Cai
- Published
- 2023
6. Temperature-Aware Electromigration Analysis with Current-Tracking in Power Grid Networks
- Author
-
Yici Cai, Qiang Zhou, and Jing Wang
- Subjects
Computer science ,InformationSystems_INFORMATIONSYSTEMSAPPLICATIONS ,Current crowding ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,Tracking (particle physics) ,Electromigration ,Computer Science Applications ,Theoretical Computer Science ,Power (physics) ,Reliability (semiconductor) ,Computational Theory and Mathematics ,Hardware and Architecture ,Theory of computation ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Current (fluid) ,Joule heating ,Software - Abstract
Electromigration (EM) is a severe reliability issue in power grid networks. The via array possesses special EM characteristics and suffers from Joule heating and current crowding, closely related to EM violations. In this study, a power grid EM analysis method was developed to solve temperature variation effects for the via array EM. The new method is based on the temperature-aware EM model, which considers the effects of self-heating and thermal coupling of interconnected lines in a power grid. According to the model, the proposed methodology introduces a locality-driven strategy and current tracking to perform full-chip EM assessment for multilayered power grids. The results show that temperature due to Joule heating indeed has significant impacts on the via EM failure. The results further demonstrate that the proposed method might reasonably improve efficiency while ensuring the accuracy of the analysis.
- Published
- 2021
7. TransMarker: A Pure Vision Transformer for Facial Landmark Detection
- Author
-
Wenyan Wu, Yici Cai, and Qiang Zhou
- Published
- 2022
8. Placement and Routing Methods Considering Shape Constraints of JTL for RSFQ Circuits
- Author
-
Zhai Jianwang, Yici Cai, and Qiang Zhou
- Subjects
business.industry ,Computer science ,Pipeline (computing) ,020208 electrical & electronic engineering ,02 engineering and technology ,01 natural sciences ,Column (database) ,Synchronization ,Transmission line ,Rapid single flux quantum ,0103 physical sciences ,Simulated annealing ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,010306 general physics ,business ,Computer hardware ,Electronic circuit - Abstract
Rapid single-flux-quantum (RSFQ) circuits are developing rapidly, but there are still many layouts that need to be completed manually, greatly reducing the design efficiency. We report the shape constraints of Josephson transmission line (JTL), and then propose automatic placement and routing methods for RSFQ circuits. First, for the pipeline structure of concurrent-flow clocking, a detailed placement algorithm based on simulated annealing is proposed, which use D Flip-Flop (DFF) insertion, column placement, and intra-column perturbation strategies to ensure the synchronization of clock phase while completing the placement of logic cells. More importantly, for the shape constraints of JTL, a new two-step JTL routing method is proposed. The first step is dummy wire routing, and the shape violations and routing congestion caused by shape constraints are solved to search legal paths for JTL routing; in the second step, timing optimization is performed when replacing dummy wire with JTL cells. Experimental results show that the proposed automatic methods achieve a 5% area-reduction and a 20× speed-up compared to manual design.
- Published
- 2021
9. DrPlace: A Deep Learning Based Routability-Driven VLSI Placement Algorithm
- Author
-
Rui Wang, Qiang Zhou, Yici Cai, and Rui Hao
- Subjects
Very-large-scale integration ,Computer architecture ,business.industry ,Computer science ,Deep learning ,Artificial intelligence ,business ,Computer Graphics and Computer-Aided Design ,Software - Published
- 2021
10. A game theory approach for RTL security verification resources allocation
- Author
-
Qiang Zhou, Yici Cai, and Haoyi Wang
- Subjects
Hardware security module ,Cover (telecommunications) ,Computer science ,Vulnerability ,02 engineering and technology ,Computer security ,computer.software_genre ,020202 computer hardware & architecture ,ComputingMilieux_MANAGEMENTOFCOMPUTINGANDINFORMATIONSYSTEMS ,Trojan ,0202 electrical engineering, electronic engineering, information engineering ,Code (cryptography) ,General Earth and Planetary Sciences ,020201 artificial intelligence & image processing ,computer ,Game theory ,General Environmental Science ,Vulnerability (computing) - Abstract
Many Trojan detection technologies are too time-consuming to cover the entire state space in complex designs. The valuable verification resources should be allocated to regions vulnerable to security threats. However, there are few studies on security verification resources allocation. To fill in this gap, we design a security game framework to guide the security verification resources allocation. The framework utilizes the Trojan vulnerability measurement as player utilities, so the utility value determination doesn't need any expert prior knowledge to the specific design under test. A new Stackelberg security game specific to hardware security is also proposed. The new game model minimizes the defender utility loss with the limited verification resources restriction. Due to the lack of study on RTL Trojan vulnerability measurement, we also propose a RTL security vulnerability measurement to measure each logic propagation path vulnerability quantitatively and efficiently. We apply the proposed Stackelberg security game framework to Trust-hub Trojan benchmarks written by Verilog RTL code. The experiments demonstrate that the most suspicious logic propagation path is one part of Trojan in most cases and the proposed RTL security vulnerability measurement is effective. Also, the allocation strategy calculated by security game could get security confidence as high as possible with all available resources and may also cover the Trojan even when the carefully design Trojan evade the vulnerability measurement.
- Published
- 2020
11. Integrated Control-Fluidic Codesign Methodology for Paper-Based Digital Microfluidic Biochips
- Author
-
Yici Cai, Zeyan Li, Kwanwoo Shin, Hailong Yao, Oh-Sun Kwon, Bing Li, Weiqing Ji, Qin Wang, Haena Cheong, Ulf Schlichtmann, and Tsung-Yi Ho
- Subjects
Fabrication ,Computer science ,Microfluidics ,Design flow ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Carbon nanotube ,Computer Graphics and Computer-Aided Design ,020202 computer hardware & architecture ,law.invention ,Interference (communication) ,Hardware_GENERAL ,law ,Electrode ,Conductive ink ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Fluidics ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,Biochip ,Software - Abstract
Paper-based digital microfluidic biochips (P-DMFBs) have recently emerged as a promising low-cost and fast-responsive platform for biochemical assays. In P-DMFBs, electrodes and control lines are printed on a piece of photograph paper using an inkjet printer and carbon nanotubes (CNTs) conductive ink. Compared with traditional digital microfluidic biochips (DMFBs), P-DMFBs enjoy significant advantages, such as faster in-place fabrication with printer and ink, lower costs, and better disposability. Since electrodes and CNT control lines are printed on the same side of this paper, a critical design challenge for P-DMFB is to prevent control interference between moving droplets and the voltages on CNT control lines. Control interference may result in unexpected droplet movements and thus incorrect assay outputs. To address this design challenge, a control-fluidic codesign methodology is proposed in this paper, along with two demonstrative design flows integrating both fluidic design and control design, i.e., the droplet-oriented codesign flow and the electrode-oriented codesign flow. The droplet-oriented flow is suitable for designing biochips with sparse electrodes and relatively larger number of droplets, whereas the electrode-oriented flow is suitable for biochips with dense electrodes and smaller number of droplets. The computational simulation results of real-life bioassays demonstrate the effectiveness of the proposed codesign flows.
- Published
- 2020
12. Lantra: Taming Transformers for Robust Facial Landmark Detection
- Author
-
Wenyan Wu, Yici Cai, and Qiang Zhou
- Subjects
History ,Polymers and Plastics ,Business and International Management ,Industrial and Manufacturing Engineering - Published
- 2022
13. SRL: Separation-and-Recombination Learning for Video Facial Landmark Detection with Limited Data
- Author
-
Wenyan Wu, Yici Cai, and Qiang Zhou
- Published
- 2021
14. McPAT-Calib: A Microarchitecture Power Modeling Framework for Modern CPUs
- Author
-
Jianwang Zhai, Chen Bai, Binwu Zhu, Yici Cai, Qiang Zhou, and Bei Yu
- Published
- 2021
15. A high-level information flow tracking method for detecting information leakage
- Author
-
Chenguang Wang, Yici Cai, Qiang Zhou, and Haoyi Wang
- Subjects
Signal processing ,Computer science ,020208 electrical & electronic engineering ,Real-time computing ,02 engineering and technology ,Tracking (particle physics) ,020202 computer hardware & architecture ,Abstraction layer ,Hardware and Architecture ,Information leakage ,0202 electrical engineering, electronic engineering, information engineering ,OpenCores ,Side channel attack ,Information flow (information theory) ,Electrical and Electronic Engineering ,Time complexity ,Software - Abstract
In this paper, we note that the hardware Trojans that leak information through the unspecified output pins are difficult to detect by functional testing or side-channel signal analysis. Especially, the Trojans that leak the information through the side channel has proven stealthy to be detected. To solve this problem, we propose a feature matching method based on information flow tracking at high abstraction level. In this paper, the Trojans features are summarized with the format of high-level information flow tracking, which can be used to detect the Trojans. Experimental results show that our method can successfully identify the above-mentioned Trojans from Trust-hub, DeTrust, and OpenCores in less than 20 ms, showing significantly lower time complexity compared with the existing works.
- Published
- 2019
16. Toward a Formal and Quantitative Evaluation Framework for Circuit Obfuscation Methods
- Author
-
Gang Qu, Xueyan Wang, Yici Cai, and Qiang Zhou
- Subjects
Relation (database) ,Computer science ,Overhead (engineering) ,02 engineering and technology ,Computer Graphics and Computer-Aided Design ,020202 computer hardware & architecture ,Obfuscation (software) ,Computer engineering ,Logic gate ,Obfuscation ,0202 electrical engineering, electronic engineering, information engineering ,Benchmark (computing) ,Electrical and Electronic Engineering ,Resilience (network) ,Software - Abstract
Since the first circuit obfuscation technique was proposed to thwart reverse engineering (RE) attacks to integrated circuits (ICs), there have been active research in de-obfuscation attacks and new obfuscation countermeasures. Although it is crucial for an obfuscation method to be secure against known de-obfuscation attacks, it is equally important to keep the cost of circuit obfuscation low. Most importantly, obfuscation methods need to be formally analyzed for their effectiveness and efficiency. In this paper, we propose a set of quantitatively evaluable metrics for this purpose, particularly facilitated by a recently proposed circuit partition attack (CPA) and the powerful SAT-based attack (SATA). Moreover, we find that CPA can be applied prior to any de-obfuscation attacks to reduce RE efforts exponentially. We then propose a new equivalent class guided obfuscation scheme (ECG-Obfus) to defeat CPA which leverages specially designed camouflaged cells to replace judiciously selected logic gates. Specifically, we select candidate gates for obfuscation from one certain equivalent class, in which the underlying equivalent relation is defined based on IC topological structure information. We evaluate ECG-Obfus using the proposed metrics and conduct experiments on ISCAS 85/89 standard benchmark suites and OpenSparc T1 microprocessor. The results show that ECG-Obfus gains good resilience against known de-obfuscation attacks (including CPA and SATA), with low design complexity and performance overhead.
- Published
- 2019
17. Deep coupling neural network for robust facial landmark detection
- Author
-
Qiang Zhou, Xingzhe Wu, Wenyan Wu, and Yici Cai
- Subjects
Landmark ,Artificial neural network ,business.industry ,Computer science ,General Engineering ,020207 software engineering ,Pattern recognition ,02 engineering and technology ,Computer Graphics and Computer-Aided Design ,Human-Computer Interaction ,Robustness (computer science) ,0202 electrical engineering, electronic engineering, information engineering ,Strong coupling ,Leverage (statistics) ,020201 artificial intelligence & image processing ,Artificial intelligence ,business - Abstract
Facial landmark detection aims at locating a sparse set of fiducial facial key-points. Two significant issues (i.e., Intra-Dataset Variation and Inter-Dataset Variation) remain in datasets which dramatically lead to performance degradation. Specifically, dataset variations will lead to severe over-fitting easily and perform poor generalization in recent in-the-wild datasets which severely harm the robustness of facial landmark detection algorithm. In this study, we show that model robustness can be significantly improved by leveraging rich variations within and between different datasets. This is non-trivial because of the serious data bias within one certain dataset and inconsistent landmark definitions between different datasets, which make it an extraordinarily tough task. To address the mentioned problems, we proposed a novel Deep Coupling Neural Network (DCNN), which consists of two strong coupling sub-networks, e.g., Dataset-Across Network (DA-Net) and Candidate-Decision Network (CD-Net). In particular, DA-Net takes advantage of different characteristics and distributions across different datasets, while CD-Net makes a final decision on candidate hypotheses given by DA-Net to leverage variations within one certain dataset. Extensive evaluations show that our approach dramatically outperforms state-of-the-art methods on the challenging 300-W and WFLW dataset.
- Published
- 2019
18. AARF: Any-Angle Routing for Flow-Based Microfluidic Biochips
- Author
-
Yici Cai, Tsung-Yi Ho, Kailin Yang, Hailong Yao, and Kunze Xin
- Subjects
Flexibility (engineering) ,business.industry ,Computer science ,Reliability (computer networking) ,Microfluidics ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Computer Graphics and Computer-Aided Design ,020202 computer hardware & architecture ,Multilayer soft lithography ,0202 electrical engineering, electronic engineering, information engineering ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,0210 nano-technology ,business ,Biochip ,Software ,Computer hardware - Abstract
Flow-based microfluidic biochips are promising with significant applications for automating and miniaturizing laboratory procedures in biochemistry. Automated design methods for flow-based microfluidic biochips are becoming increasingly important due to the advancement in both integration scale and design complexity for complicated biochemical applications. Though the multilayer soft lithography fabrication provides flexibility to route both flow and control channels in any angle, existing routing algorithms still adopt Manhattan routing metrics, which design channel in either vertical or horizontal direction only. Moreover, based on the computational fluid dynamics analysis, rectilinear channels with 90° bends have the following issues: 1) reduced the fluidic flow rate, which degrades the performance of the biochip and may even result in the erroneous outcome of the whole procedure and 2) increased pressure at the right-angle bend, which negatively affects the reliability of the biochip. To fully utilize the routing flexibility, this paper proposes the first any-angle routing algorithm for flow-based microfluidic biochip, called AARF. Computational simulation results show that compared with traditional Manhattan routing method, the proposed AARF significantly improves the total wirelength and total effective wirelength (considering the turning angles) by 17.11% and 35.91%, respectively, which prove the effectiveness of the AARF routing flow.
- Published
- 2018
19. An Efficient Approach for DRC Hotspot Prediction with Convolutional Neural Network
- Author
-
Yici Cai, Lin Li, and Qiang Zhou
- Subjects
Design rule checking ,Relation (database) ,Computer science ,Feature extraction ,Hardware_PERFORMANCEANDRELIABILITY ,computer.software_genre ,Convolutional neural network ,Multiple factors ,Hotspot (geology) ,Hardware_INTEGRATEDCIRCUITS ,Road map ,Data mining ,Physical design ,computer - Abstract
Predicting the design rule check (DRC) violation hotspots in an early stage plays an essential role in the efficiency of the physical design. Multiple factors that affect the performance of a DRC hotspot predictor, among them, the efficacy of the extracted features plays a substantial role. In this paper, we propose a connectivity-based DRC hotspot prediction method using a convolutional neural network. We show that the proposed method is efficient in both training and prediction. The relation between pin features and predictor performance is further investigated and two weighted connectivity-based route map features are introduced. Experimental results demonstrate that the proposed algorithm can predict on average 73% of the DRC hotspots with only 2.7% false alarms.
- Published
- 2021
20. A Power Grids Electromigration Analysis with Via Array Using Current-Tracing Model
- Author
-
Jing Wang, Qiang Zhou, and Yici Cai
- Subjects
Interconnection ,Computer science ,Integrated circuit ,Tracing ,Chip ,Electromigration ,law.invention ,Power (physics) ,Reliability (semiconductor) ,law ,Computer Science::Multimedia ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Routing (electronic design automation) - Abstract
Electromigration (EM) has been considered to be a severe reliability issue in power grid networks of large integrated circuits (IC). The via array possesses special EM characteristics that have been observed to be distinct from a single via. In this study, a compact analytical model for the fast estimation of EM for via array was proposed by calculating the current distribution in the via arrays. The proposed model was then analyzed in a multi-layer power grid, which, for the first time, considered the impacts of the current propagation that exists in the vertical via array connected within the multi-level interconnection to improve the accuracy of the analytical model further. According to the model, a novel methodology for full- chip EM checking for multi-layered power grids was proposed. This method factored in the routing structure of the multi-layer power grid network, ensuring the EM assessment analysis's efficiency for large-scale power grid networks without sacrificing accuracy.
- Published
- 2021
21. Physical Co-Design of Flow and Control Layers for Flow-Based Microfluidic Biochips
- Author
-
Hailong Yao, Yici Cai, Robert Wille, Qin Wang, Hao Zou, and Tsung-Yi Ho
- Subjects
0301 basic medicine ,Computer science ,Design flow ,Control engineering ,02 engineering and technology ,Computer Graphics and Computer-Aided Design ,020202 computer hardware & architecture ,03 medical and health sciences ,030104 developmental biology ,0202 electrical engineering, electronic engineering, information engineering ,Electronic design automation ,Algorithm design ,Minimum-cost flow problem ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,Biochip ,Design methods ,Global optimization ,Software - Abstract
Flow-based microfluidic biochips are attracting increasing attention with successful applications in biochemical experiments, point-of-care diagnosis, etc. Existing works in design automation consider the flow-layer design and control-layer design separately, lacking a global optimization and hence resulting in degraded routability and reliability. This paper presents a novel integrated physical co-design methodology, which seamlessly integrates the flow-layer and control-layer design stages. In the flow-layer design stage, a sequence-pair-based placement method is presented, which allows for an iterative placement refinement based on routing feedbacks. In the control-layer design stage, the minimum cost flow formulation is adopted to further improve the routability. Besides that, effective placement adjustment strategies are proposed to iteratively enhance the solution quality of the overall control-layer design. Experimental results show that compared with the existing work, the proposed design flow obtains an average reduction of 40.44% in flow-channel crossings, 31.95% in total chip area, and 22.02% in total flow-channel length. Moreover, all the valves are successfully routed in the control-layer design stage.
- Published
- 2018
22. A Multicommodity Flow-Based Detailed Router With Efficient Acceleration Techniques
- Author
-
Yici Cai, Bei Yu, Xiaotao Jia, and Qiang Zhou
- Subjects
Router ,Mathematical optimization ,Static routing ,Computer science ,Equal-cost multi-path routing ,Path vector protocol ,DSRFLOW ,02 engineering and technology ,Computer Graphics and Computer-Aided Design ,Multi-commodity flow problem ,020202 computer hardware & architecture ,Distance-vector routing protocol ,Link-state routing protocol ,020204 information systems ,Multipath routing ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Destination-Sequenced Distance Vector routing ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,Software - Abstract
Detailed routing is an important stage in very large scale integrated physical design. Due to the extreme scaling of transistor feature size and the complicated design rules, ensuring routing completion without design rule checking (DRC) violations becomes more and more difficult. Studies have shown that the low routing quality partly results from nonoptimal net-ordering nature of traditional sequential methods. The concurrent routing strategy is always based on an NP-hard model, thus is at a disadvantage in runtime. In this paper, we present a novel concurrent detailed routing algorithm that routes all nets simultaneously. Based on the multicommodity flow model, detailed routing problem with complex design rule constraints is formulated as an integer linear programming. Some model simplification heuristics and efficient model solving algorithms are proposed to improve the runtime. Experimental results show that, the proposed algorithms can reduce the DRC violations by 80%, meanwhile can reduce wirelength and via count by 5% and 8% compared with an industry tool. In addition, the proposed algorithm is general that it can be adopted as an incremental detailed router to refine a routing solution, so the number of DRC violations that industry tool cannot fix are further reduced by 27%.
- Published
- 2018
23. Spear and Shield: Evolution of Integrated Circuit Camouflaging
- Author
-
Yici Cai, Xueyan Wang, Qiang Zhou, and Gang Qu
- Subjects
Hardware security module ,Computer science ,Overhead (engineering) ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Integrated circuit ,Computer security ,computer.software_genre ,GeneralLiterature_MISCELLANEOUS ,Theoretical Computer Science ,law.invention ,Brute-force attack ,law ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Static random-access memory ,Doping ,020207 software engineering ,Satisfiability ,020202 computer hardware & architecture ,Computer Science Applications ,Computational Theory and Mathematics ,Hardware and Architecture ,Logic gate ,computer ,Software ,Hardware_LOGICDESIGN - Abstract
Intellectual property (IP) protection is one of the hardcore problems in hardware security. Semiconductor industry still lacks effective and proactive defense to shield IPs from reverse engineering (RE) based attacks. Integrated circuit (IC) camouflaging technique fills this gap by replacing some conventional logic gates in the IPs with specially designed logic cells (called camouflaged gates) without changing the functions of the IPs. The camouflaged gates can perform different logic functions while maintaining an identical look to RE attackers, thus preventing them from obtaining the layout information of the IP directly from RE tools. Since it was first proposed in 2012, circuit camouflaging has become one of the hottest research topics in hardware security focusing on two fundamental problems. How to choose the types of camouflaged gates and decide where to insert them in order to simultaneously minimize the performance overhead and optimize the RE complexity? How can an attacker de-camouflage a camouflaged circuit and complete the RE attack? In this article, we review the evolution of circuit camouflaging through this spear and shield race. First, we introduce the design methods of four different kinds of camouflaged cells based on true/dummy contacts, static random access memory (SRAM), doping, and emerging devices, respectively. Then we elaborate four representative de-camouflaging attacks: brute force attack, IC testing based attack, satisfiability-based (SAT-based) attack, and the circuit partition based attack, and the corresponding countermeasures: clique-based camouflaging, CamoPerturb, AND-tree camouflaging, and equivalent class based camouflaging, respectively. We argue that the current research efforts should be on reducing overhead introduced by circuit camouflaging and defeating de-camouflaging attacks. We point out that exploring features of emerging devices could be a promising direction. Finally, as a complement to circuit camouflaging, we conclude with a brief review of other state-of-the-art IP protection techniques.
- Published
- 2018
24. Pressure-Aware Control Layer Optimization for Flow-Based Microfluidic Biochips
- Author
-
Shiliang Zuo, Ulf Schlichtmann, Hailong Yao, Yici Cai, Tsung-Yi Ho, Bing Li, Qin Wang, and Yue Xu
- Subjects
Computer science ,business.industry ,Microfluidics ,Biomedical Engineering ,Equipment Design ,02 engineering and technology ,Microfluidic Analytical Techniques ,021001 nanoscience & nanotechnology ,Multiplexer ,Multiplexing ,020202 computer hardware & architecture ,Broadcasting (networking) ,Reliability (semiconductor) ,Scalability ,0202 electrical engineering, electronic engineering, information engineering ,Electrical and Electronic Engineering ,Total pressure ,0210 nano-technology ,Biochip ,business ,Computer hardware - Abstract
Flow-based microfluidic biochips are attracting increasing attention with successful biomedical applications. One critical issue with flow-based microfluidic biochips is the large number of microvalves that require peripheral control pins. Even using the broadcasting addressing scheme, i.e., one control pin controls multiple microvalves simultaneously, thousands of microvalves would still require hundreds of control prins, which is unrealistic. To address this critical challenge in control scalability, the control-layer multiplexer is introduced to effectively reduce the number of control pins into log scale of the number of microvalves. There are two practical design issues with the control-layer multiplexer: (1) the reliability issue caused by the frequent control-valve switching, and (2) the pressure degradation problem caused by the control-valve switching without pressure refreshing from the pressure source. This paper addresses these two design issues by the proposed Hamming-distance-based switching sequence optimization method and the XOR-based pressure refreshing method. Simulation results demonstrate the effectiveness and efficiency of the proposed methods with an average 77.2% (maximum 89.6%) improvement in total pressure refreshing cost, and an average 88.5% (maximum 90.0%) improvement in pressure deviation.
- Published
- 2017
25. Composite Optimization for Electromigration Reliability and Noise in Power Grid Networks
- Author
-
Ming Yan, Yici Cai, Jing Wang, and Qiang Zhou
- Subjects
010302 applied physics ,Computer science ,Process (computing) ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,02 engineering and technology ,01 natural sciences ,Electromigration ,020202 computer hardware & architecture ,Reliability engineering ,law.invention ,Power (physics) ,Noise ,Capacitor ,Reliability (semiconductor) ,law ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Transient (oscillation) ,Power grid - Abstract
Electromigration(EM) and power supply noise has been considered serious reliability issue in the power grid networks. Several performance goals in EM reliability optimization and power supply noise optimization are typically conflict with each other. In this paper, we propose a composite optimization method trading off EM and power noise optimization process. In the method, we expand a temperature-aware EM model, which takes EM transient effect into account. Experimental results show that composite reliability optimization method can lengthen the lifetime of an entire circuit by approximately 10% compared with previous respective optimization strategy and no power noise violations exists after the composite optimization.
- Published
- 2019
26. Integrated Functional and Washing Routing Optimization for Cross-Contamination Removal in Digital Microfluidic Biochips
- Author
-
Qin Wang, Yici Cai, Tsung-Yi Ho, Yiren Shen, and Hailong Yao
- Subjects
Engineering ,business.industry ,020208 electrical & electronic engineering ,Microfluidics ,02 engineering and technology ,Contamination ,Computer Graphics and Computer-Aided Design ,Electronic mail ,020202 computer hardware & architecture ,0202 electrical engineering, electronic engineering, information engineering ,Forensic engineering ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,Biochip ,Process engineering ,business ,Software - Abstract
Digital microfluidic biochips (DMFBs) are gaining increasing attention with promising applications for automating and miniaturizing laboratory procedures in biochemistry. In DMFBs, cross-contamination of droplets with different biomolecules is a major issue, which causes significant errors in bioassays. Washing operations are introduced to clean the cross-contamination spots. However, existing works have oversimplified assumptions on the washing behavior, which either assume infinite washing capacity, or ignore the routing conflicts between functional and washing droplets. This paper proposes the first integrated functional and washing droplet routing flow, which considers practical issues including the finite washing capacity constraint, and the routing conflicts between functional and washing droplets. Washing droplets of different sizes are also proposed to wash the congested cross-contamination spots. Effectiveness of the proposed method is validated by real-life biochemical applications.
- Published
- 2016
27. Integrated Flow-Control Codesign Methodology for Flow-Based Microfluidic Biochips
- Author
-
Hailong Yao, Yici Cai, Tsung-Yi Ho, Qin Wang, and Yizhong Ru
- Subjects
Flow control (data) ,Design framework ,Engineering ,business.industry ,Microfluidics ,Control engineering ,Hardware and Architecture ,Embedded system ,Simulated annealing ,Algorithm design ,Electronic design automation ,Electrical and Electronic Engineering ,business ,Biochip ,Software - Abstract
This article presents the first flow-control codesign methodology, which seamlessly integrates both flow-layer and control-layer design stages. Experimental results show that the proposed codesign flow achieves notable improvements over the regular design framework with separate design stages.
- Published
- 2015
28. Electromagnetic equalizer
- Author
-
Qiang Zhou, Yici Cai, Chenguang Wang, and Haoyi Wang
- Subjects
Hardware security module ,Computer science ,business.industry ,InformationSystems_INFORMATIONSYSTEMSAPPLICATIONS ,020208 electrical & electronic engineering ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,Cryptography ,02 engineering and technology ,020202 computer hardware & architecture ,Power (physics) ,Power analysis ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Waveform ,Side channel attack ,business ,Countermeasure (computer) ,Vulnerability (computing) - Abstract
Electromagnetic (EM) analysis is to reveal the secret information by analyzing the EM emission from a cryptographic device. EM analysis (EMA) attack is emerging as a serious threat to hardware security. It has been noted that the on-chip power grid (PG) has a security implication on EMA attack by affecting the fluctuations of supply current. However, there is little study on exploiting this intrinsic property as an active countermeasure against EMA. In this paper, we investigate the effect of PG on EM emission and propose an active countermeasure against EMA, i.e. EM Equalizer (EME). By adjusting the PG impedance, the current waveform can be flattened, equalizing the EM profile. Therefore, the correlation between secret data and EM emission is significantly reduced. As a first attempt to the co-optimization for power and EM security, we extend the EME method by fixing the vulnerability of power analysis. To verify the EME method, several cryptographic designs are implemented. The measurement to disclose (MTD) is improved by 1138x with area and power overheads of 0.62% and 1.36%, respectively.
- Published
- 2018
29. Electromigration Design Rule aware Global and Detailed Routing Algorithm
- Author
-
Xiaotao Jia, Jing Wang, Yici Cai, and Qiang Zhou
- Subjects
Router ,InformationSystems_INFORMATIONSYSTEMSAPPLICATIONS ,SIGNAL (programming language) ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,02 engineering and technology ,Integrated circuit ,Chip ,Electromigration ,020202 computer hardware & architecture ,law.invention ,Computer engineering ,law ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Signal integrity ,Routing (electronic design automation) ,Physical design - Abstract
Electromigration (EM) in interconnects is becoming a major concern as the scaling of technology nodes. Electromigration affects chip performance and signal integrity seriously by generating shorts or opens, and then shortens the life-time of integrated circuits. In this paper, we propose an EM-aware routing algorithm in both global and detailed routing stages. Based on physics-based EM modeling and analysis, EM issue is modeled as physical design rule. In global routing stage, an efficient EM-aware Mazerouting algorithm is implemented. An concurrent EM-aware detailed router is then proposed based on multi-commodity flow method. Experimental results show that comparing with general routing algorithm, the proposed EM-aware algorithm could effectively reduce EM risk of signal wires by 92% with slight increasing of wire length and via count.
- Published
- 2018
30. Poet-based Poetry Generation: Controlling Personal Style with Recurrent Neural Networks
- Author
-
Jia Wei, Yici Cai, and Qiang Zhou
- Subjects
Expression (architecture) ,Poetry ,ComputerApplications_MISCELLANEOUS ,media_common.quotation_subject ,Selection (linguistics) ,Chinese poetry ,Art ,Content (Freudian dream analysis) ,Semantics ,Coherence (linguistics) ,Linguistics ,Style (sociolinguistics) ,media_common - Abstract
Poetry style is not only a high-level abstract semantic information but also an important factor to the success of poetry generation system. Most work on Chinese poetry generation focused on controlling the coherence of the content of the poem and ignored the poetic style of the poem. In this paper, we propose a Poet-based Poetry Generation method which generates poems by controlling not only content selection but also poetic style factor (consistent poetic style expression). The proposed method consists of two stages: Capturing poetic style embedding by modeling poems and high-level abstraction of poetic style in Poetic Style Model, and generating each line sequentially using a modified RNN encoder-decoder framework. Experiments with human evaluation show that our method can generate high-quality poems corresponding to the keywords and poetic style.
- Published
- 2018
31. A conflict-free approach for parallelizing SAT-based de-camouflaging attacks
- Author
-
Xueyan Wang, Qiang Zhou, Yici Cai, and Gang Qu
- Published
- 2018
32. ASAX: Automatic security assertion extraction for detecting Hardware Trojans
- Author
-
Chenguang Wang, Yici Cai, Qiang Zhou, and Haoyi Wang
- Published
- 2018
33. HLIFT: A high-level information flow tracking method for detecting hardware Trojans
- Author
-
Chenguang Wang, Yici Cai, and Qiang Zhou
- Published
- 2018
34. A Selected Inversion Approach for Locality Driven Vectorless Power Grid Verification
- Author
-
Wei Zhao, Jianlei Yang, Yici Cai, and Qiang Zhou
- Subjects
Linear programming ,Hardware and Architecture ,Computation ,Linear system ,Locality ,Inversion (meteorology) ,Electrical and Electronic Engineering ,Grid ,Upper and lower bounds ,Algorithm ,Software ,Sparse matrix ,Mathematics - Abstract
Vectorless power grid verification is a practical approach for early stage safety check without input current patterns. The power grid is usually formulated as a linear system and requires intensive matrix inversion and numerous linear programming (LP), which is extremely time-consuming for large-scale power grid verification. In this paper, the power grid is represented in the manner of domain-decomposition approach, and we propose a selected inversion technique to reduce the computation cost of matrix inversion for vectorless verification. The locality existence among power grids is exploited to decide which blocks of matrix inversion should be computed while remaining blocks are not necessary. The vectorless verification could be purposefully performed by this manner of selected inversion, while previous direct approaches are required to perform full matrix inversion and then discard small entries to reduce the complexity of LP. Meanwhile, constraint locality is proposed to improve the verification accuracy. In addition, a concept of quasi-Poisson block is introduced to exploit grid locality among realistic power grids and a scheme of pad-aware partitioning is proposed to enable the selected inversion approach available for practical use. Experimental results show that the proposed approach could achieve significant speedups compared with previous approaches while still guaranteeing the quality of solution accuracy.
- Published
- 2015
35. Design-Rule-Aware Congestion Model with Explicit Modeling of Vias and Local Pin Access Paths
- Author
-
Yici Cai, Qiang Zhou, and Zhongdong Qi
- Subjects
Routing protocol ,Dynamic Source Routing ,Equal-cost multi-path routing ,Computer science ,Routing table ,Enhanced Interior Gateway Routing Protocol ,Wireless Routing Protocol ,Theoretical Computer Science ,Routing Information Protocol ,Hardware_INTEGRATEDCIRCUITS ,Destination-Sequenced Distance Vector routing ,Hierarchical routing ,Triangular routing ,Zone Routing Protocol ,Static routing ,business.industry ,ComputerSystemsOrganization_COMPUTER-COMMUNICATIONNETWORKS ,Policy-based routing ,DSRFLOW ,Path vector protocol ,Computer Science Applications ,Computational Theory and Mathematics ,Link-state routing protocol ,Routing domain ,Hardware and Architecture ,Multipath routing ,Routing (electronic design automation) ,business ,Software ,Computer network - Abstract
As technology advances, there is a considerable gap between the congestion model used in global routing and the routing resource consumption in detailed routing. The new factors contributing to congestion include local pin access paths, vias, and various design rules. In this paper, we propose a practical congestion model with measurement of the impact of design rules, and resources consumed by vias and local pin access paths. The model is compatible with path search algorithms commonly used in global routing. Validated by full-flow routing, this congestion model correlates better with real resource consumption situation in detailed routing, compared with previous work. It leads to better solution quality and shorter runtime of detailed routing when it is used in the layer assignment phase of global routing stage.
- Published
- 2015
36. Register Clustering Methodology for Low Power Clock Tree Synthesis
- Author
-
Yici Cai, Qiang Zhou, and Chao Deng
- Subjects
Computer science ,Skew ,Clock gating ,Parallel computing ,Clock skew ,Power budget ,Computer Science Applications ,Theoretical Computer Science ,Reduction (complexity) ,Computational Theory and Mathematics ,Hardware and Architecture ,Benchmark (computing) ,Cluster analysis ,Software ,CPU multiplier - Abstract
Clock networks dissipate a significant fraction of the entire chip power budget. Therefore, the optimization for power consumption of clock networks has become one of the most important objectives in high performance IC designs. In contrast to most of the traditional studies that handle this problem with clock routing or buffer insertion strategy, this paper proposes a novel register clustering methodology in generating the leaf level topology of the clock tree to reduce the power consumption. Three register clustering algorithms called KMR, KSR and GSR are developed and a comprehensive study of them is discussed in this paper. Meanwhile, a buffer allocation algorithm is proposed to satisfy the slew constraint within the clusters at a minimum cost of power consumption. We integrate our algorithms into a classical clock tree synthesis (CTS) flow to test the register clustering methodology on ISPD 2010 benchmark circuits. Experimental results show that all the three register clustering algorithms achieve more than 20% reduction in power consumption without affecting the skew and the maximum latency of the clock tree. As the most effective method among the three algorithms, GSR algorithm achieves a 31% reduction in power consumption as well as a 4% reduction in skew and a 5% reduction in maximum latency. Moreover, the total runtime of the CTS flow with our register clustering algorithms is significantly reduced by almost an order of magnitude.
- Published
- 2015
37. Obstacle-Avoiding and Slew-Constrained Clock Tree Synthesis With Efficient Buffer Insertion
- Author
-
Qiang Zhou, Yici Cai, Feifei Niu, Chao Deng, Hailong Yao, and Cliff Sze
- Subjects
Very-large-scale integration ,Reduction (complexity) ,Hardware and Architecture ,Computer science ,Skew ,Topology (electrical circuits) ,Integrated circuit design ,Parallel computing ,Electrical and Electronic Engineering ,Routing (electronic design automation) ,Algorithm ,Software - Abstract
As VLSI technology continuously scales down, buffered clock tree synthesis (CTS) has become increasingly critical in an attempt to generate a high-performance synchronous chip design. This paper presents a novel obstacle-avoiding CTS approach with slew constraints satisfied and signal polarity corrected. We build a look-up table through NGSPICE simulation to achieve accurate buffer delay and slew, which guarantees that the final skew after NGSPICE simulation is as satisfactory as expected. Aiming at skew optimization under constraints of slew and obstacles, our CTS approach features the clock tree construction stage with the obstacle-aware topology generation algorithm called OBB, balanced insertion of candidate buffer positions and a fast heuristic buffer insertion algorithm. With an overall view on obstacles to explore the global optimization space, our CTS approach effectively overcomes the negative influence on skew brought by the obstacles. Experimental results show the effectiveness of our CTS approach with significantly improved skew and latency by 69.0% and 72.0% on average. In addition, the accuracy of the look-up table is demonstrated through the huge skew reduction by 87.3% on average. Moreover, our OBB heuristic algorithm obtains 53.2% improvement in skew than the classic balanced bipartition algorithm.
- Published
- 2015
38. SIAR: Customized real-time interactive router for analog circuits
- Author
-
Chiu-Wing Sham, Qiang Zhou, Hailong Yao, Fan Yang, and Yici Cai
- Subjects
Dynamic Source Routing ,Engineering ,Static routing ,Equal-cost multi-path routing ,business.industry ,Routing table ,Distributed computing ,Enhanced Interior Gateway Routing Protocol ,Policy-based routing ,Link-state routing protocol ,Computer engineering ,Hardware and Architecture ,Multipath routing ,Hardware_INTEGRATEDCIRCUITS ,Electrical and Electronic Engineering ,business ,Software - Abstract
As analog and mixed-signal (AMS) circuitry gains increasing portions in modern SoCs, automatic analog routing is becoming more and more important. However, routing for analog circuits has always been an extremely challenging task due to complicated electrical and geometrical constraints. Due to these constraints, current analog routers often fail to obtain a routing solution that the designer wants. To incorporate the designer׳s expertise during routing, a customized real-time interactive analog router is attracting increasing concerns in industry. This paper presents a fast customized real-time interactive analog router called SIAR. A key feature of SIAR is that it allows for real-time interactions between the router and the designer. The designer can try different guiding points by moving the cursor in the user window and SIAR will return and display the corresponding routing solution in real-time, such that the designer could choose the most satisfactory one. The guiding points are very important for the designer to obtain satisfactory routing solutions, even for routing solutions with analog matching constraints by setting symmetric guiding points. A new splitting graph based routing model is presented to efficiently search the routing path and record the number of turns/vias during searching by efficient tile splitting operations. SIAR supports different routing modes such as point-to-point, point-to-module and module-to-module. An efficient connecting point selection method is presented such that an optimal routing solution is preserved when connecting to a module. Different design rules such as variable wire and via width/spacing rules, along with the same-net spacing rules, are supported in SIAR. Moreover, a global routing stage is presented to speedup the routing process for large designs. Experimental results are promising.
- Published
- 2015
39. Automatic Security Property Generation for Detecting Information-Leaking Hardware Trojans
- Author
-
Yici Cai, Qiang Zhou, and Chenguang Wang
- Subjects
Model checking ,021110 strategic, defence & security studies ,Matching (statistics) ,business.industry ,Property (programming) ,Computer science ,Feature extraction ,0211 other engineering and technologies ,02 engineering and technology ,Formal methods ,020202 computer hardware & architecture ,Embedded system ,0202 electrical engineering, electronic engineering, information engineering ,Code (cryptography) ,business ,Computer hardware - Abstract
In recent years, formal methods have been adopted to detect the hardware Trojans (HT). However, they generally suffer from the time-consuming and error-prone development for property, lack of self-learning system to counter with the future HT types, and high computational complexity due to the growth of design scales. To overcome the above limitations, we propose an automatic security property generation method (ASPG) by feature analysis and property matching techniques. Machine learning is applied to systematically training the property library from the suspicious behaviors in unknown designs, which is expected to counter with the future HT. To reduce the computational complexity, we transform the register-transfer level (RTL) code into an introduced succinct abstract format to remove the redundant information which is unnecessary for depicting HT features. Experimental results show that the properties are generated in less than 50 ms with low memory consumption and the benchmarks from Trust-hub and DeTrust can be successfully detected with 0 false negatives and positives.
- Published
- 2017
40. An Effective Power Grid Optimization Approach for the Electromigration Reliability
- Author
-
Yici Cai, Qiang Zhou, Chenguang Wang, and Ming Yan
- Subjects
Engineering ,Mathematical optimization ,business.industry ,020208 electrical & electronic engineering ,ComputerApplications_COMPUTERSINOTHERSYSTEMS ,02 engineering and technology ,Integrated circuit ,Electromigration ,020202 computer hardware & architecture ,law.invention ,Noise ,law ,0202 electrical engineering, electronic engineering, information engineering ,Key (cryptography) ,Overhead (computing) ,Transient (oscillation) ,Focus (optics) ,business ,Reliability (statistics) - Abstract
An effective optimization approach for the electromigration (EM) reliability in power grid (PG) has been presented in this paper. With core technology development and the key feature size of integrated circuits decreasing, it is more serious for the EM-induced failure occurrence in the entire PG. However, previous PG studies focus on supply noise optimization and neglect the EM influence in lines, especially transient effects of EM phenomenon, and researches in EM reliability are purely confined to local branches or segments now. In the paper, we propose an optimization approach to dealing with EM failure problem in the entire PG, which could effectively lengthen lifetime of the entire circuit in the case that supply noise can be guaranteed. In addition, a composite method flow has been proposed to illustrate the connection between the two optimizations. Experimental results show that our proposed method achieves the goal of transient EM analysis and optimization with supply noise constraints guaranteed. Meanwhile, COMSOL simulation results also confirm effectiveness of the PG optimization approach. For several different scale PG benchmarks, our method can lengthen lifetime of the entire circuit approximately by 10% with the area overhead increased only by 1% within reasonable runtime.
- Published
- 2017
41. TeenRead: An Adolescents Reading Recommendation System Towards Online Bibliotherapy
- Author
-
Li Jin, Yunxing Xin, Ling Feng, Yongqiang Chen, and Yici Cai
- Subjects
Rehabilitation ,020205 medical informatics ,Multimedia ,Computer science ,business.industry ,media_common.quotation_subject ,medicine.medical_treatment ,Library services ,05 social sciences ,Applied psychology ,Big data ,02 engineering and technology ,Professional staff ,Recommender system ,computer.software_genre ,Reading (process) ,Stress (linguistics) ,0202 electrical engineering, electronic engineering, information engineering ,Bibliotherapy ,medicine ,0509 other social sciences ,050904 information & library sciences ,business ,computer ,media_common - Abstract
Bibliotherapy has been proved to be an effective way to deal with adolescents psychological stress. Specific reading materials are provided to patients with physical or mental diseases for the purpose of prevention, healing, and rehabilitation. But traditional bibliotherapy requires professional staff with the background of both psychological and library services, which is quite demanding and labor consuming. Moreover, bibliotherapy based on paper books is getting ill-fitted in the present big data era. To address the limitations, this paper proposes an online reading recommendation system called TeenRead to carry out bibliotherapy for adolescents. TeenRead involves the management of users and articles, analysis of users' dynamic reading behaviors, as well as the recommendation based on users' stress categories, stress levels, and reading interests. The results of the user study on 10 volunteers show that, the average decrease of users' stress level is significantly dropped by 22% after a period of reading on TeenRead, which proves that TeenRead performs pretty well as a new method of bibliotherapy.
- Published
- 2017
42. An Empirical Study on Gate Camouflaging Methods Against Circuit Partition Attack
- Author
-
Xueyan Wang, Qiang Zhou, Gang Qu, and Yici Cai
- Subjects
010302 applied physics ,Reverse engineering ,Hardware security module ,Class (computer programming) ,Engineering ,business.industry ,02 engineering and technology ,Computer security ,computer.software_genre ,01 natural sciences ,GeneralLiterature_MISCELLANEOUS ,020202 computer hardware & architecture ,Circuit partition ,Empirical research ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,business ,computer ,Countermeasure (computer) - Abstract
Gate camouflaging has emerged as a leading proactive countermeasure for reverse engineering (RE) attacks. However, a recently proposed circuit partition attack (CPA) can significantly reduce the complexity of revealing the original design from a camouflaged circuit. In this paper, we first conduct an empirical study on how CPA can facilitate the state-of-the-art de-camouflaging methods to perform more efficient attacks. We then study how an equivalent class guided camouflaging approach may thwart these de-camouflaging attempts and re-establish the defense against RE. Experimental results demonstrate that (1) CPA is an effective pre-processing technique to boost de-camouflaging methods, and (2) Equivalent class guided camouflaging technique is resilient against the union of CPA and existing de-camouflaging methods.
- Published
- 2017
43. Cell spreading optimization for force-directed global placers
- Author
-
Yici Cai, Qiang Zhou, and Xueyan Wang
- Subjects
Mathematical optimization ,Quadratic equation ,Orientation (computer vision) ,Computer science ,0202 electrical engineering, electronic engineering, information engineering ,Cluster (physics) ,02 engineering and technology ,Cluster analysis ,020202 computer hardware & architecture ,Cell spreading - Abstract
Wirelength is a traditional optimization objective in global placement algorithms. To eliminate cell overlaps, spreading forces need to be added to pull cells away from highly congested areas. At the same time, to optimize wirelength, the quadratic nature should be maintained. In this paper, several techniques are proposed to optimize spreading force orientation and modulation. Specifically, a percentage-driven method is proposed to cluster overfilled bins, followed by a center-uniformization algorithm to demarcate the expand region for the cluster. Finally, cells are distributed evenly within each expand region while maintaining relative cell positions and minimizing cell displacements. Experimental results show that the global placer that integrated with the proposed strategies achieves 13.0% and 2.1% less wirelength compared with Capo10.5 and Aplace3, respectively.
- Published
- 2017
44. Physics-based electromigration modeling and assessment for multi-segment interconnects in power grid networks
- Author
-
Yici Cai, Jian He, Xiaoyi Wang, Hongyu Wang, Sheldon X.-D. Tan, and Shengqi Yang
- Subjects
010302 applied physics ,Interconnection ,Engineering ,business.industry ,Numerical analysis ,02 engineering and technology ,01 natural sciences ,Electromigration ,020202 computer hardware & architecture ,Reliability (semiconductor) ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Transient (oscillation) ,Routing (electronic design automation) ,Hydrostatic stress ,business ,Failure assessment - Abstract
Electromigration (EM) is considered to be one of the most important reliability issues for current and future ICs in 10nm technology and below. In this paper we focus on the EM stress evaluation for one-dimensional multi-segment interconnect wires in which all the segments have the same direction, which is a common routing structure for power grid networks. The proposed method, which is based on integral transform technique, could efficiently calculate the hydrostatic stress evolution for multi-segment metal wires stressed with different current densities. The new method can also naturally consider the pre-existing residual stresses coming from thermal or other stress sources. Based on this new transient EM assessment method, a full-chip assessment algorithm for power grid networks is then proposed. The new algorithm is also based on the IR-drop metrics for failure assessment of the power grid networks. However, it finds the precise location and time of EM-induced void nucleation by directly checking the time-changing hydrostatic stresses of all the wires. The resulting EM assessment method can ensure sufficient accuracy of the EM verification for large scale power grid networks without sacrificing the efficiency. The accuracy of the proposed transient analysis approach is validated against the numerical analysis. Also the resulting EM-aware full-chip power grid reliability analysis has been demonstrated and compared with existing methods.
- Published
- 2017
45. Gate Camouflaging-Based Obfuscation
- Author
-
Xueyan Wang, Gang Qu, Qiang Zhou, Mingze Gao, and Yici Cai
- Subjects
010302 applied physics ,Reverse engineering ,Very-large-scale integration ,Computer science ,business.industry ,Design information ,02 engineering and technology ,computer.software_genre ,01 natural sciences ,Multiplexer ,GeneralLiterature_MISCELLANEOUS ,020202 computer hardware & architecture ,Embedded system ,0103 physical sciences ,Obfuscation ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,business ,Digital watermarking ,computer ,Countermeasure (computer) ,Hardware_LOGICDESIGN ,Vulnerability (computing) - Abstract
Circuit camouflaging is a layout-level technique to protect VLSI design from being attacked by reverse engineering. It hides design information by configurable logic units that can be configured to perform different functionalities with identical looks to the attackers. In this chapter, after introducing the primitive for gate camouflaging-based obfuscation, we analyze its vulnerability to one specific attack based on circuit partitioning. We then elaborate this attack and discuss two practical countermeasure methods. We explain that the security of gate camouflaging-based obfuscation not only depends on the number of gates being obfuscated, but also which gates we select for obfuscation and the number of different functionalities these gates can implement. As an example, we show how to perform a multiplexer-based gate camouflaging.
- Published
- 2017
46. PowerRush: An Efficient Simulator for Static Power Grid Analysis
- Author
-
Jianlei Yang, Qiang Zhou, Yici Cai, and Zuowei Li
- Subjects
Preconditioner ,Iterative method ,Computer science ,Krylov subspace ,Parallel computing ,Solver ,Multigrid method ,Hardware and Architecture ,Robustness (computer science) ,Conjugate gradient method ,Scalability ,Electrical and Electronic Engineering ,Software ,Simulation - Abstract
Efficient power grid analysis is critical for modern very large scale integration design but is computationally challenging in runtime and memory consumption because of the increasing size of power grids. PowerRush is proposed as an efficient IR-drop simulator, which includes an efficient SPICE parser, a robust circuit builder, and a linear solver Algebraic MultiGrid Preconditioned Conjugate Gradient. The proposed AMG-PCG solver is a pure algebraic method, which can provide stable convergence without geometric information. Aggregation-based AMG with K-cycle acceleration is adopted as a preconditioner to improve the scalability of iterative method. In multigrid scheme, double pairwise aggregation technique is applied to matrix graph in coarsening to ensure low setup cost and memory requirement. Furthermore, K-cycle multigrid scheme is adopted to provide Krylov subspace acceleration at each level to guarantee enhanced robustness and scalability. The experimental results for large-scale power grids have shown that PowerRush has remarkable scalability both in runtime and memory consumption. DC analysis of power grid with 60-million nodes can be solved by PowerRush for 0.01 $mV$ accuracy within 150 s and 21.99 GB total memory used. Moreover, the proposed AMG-PCG solver can perform much better than widely used direct solver Cholmod and well-developed Hybrid solver both on runtime and memory consumption.
- Published
- 2014
47. Trusted Integrated Circuits: The Problem and Challenges
- Author
-
Yici Cai, Yongqiang Lv, Gang Qu, and Qiang Zhou
- Subjects
Trusted service manager ,Hardware security module ,Authentication ,Computer science ,business.industry ,Enterprise information security architecture ,Computer security ,computer.software_genre ,Computer Science Applications ,Theoretical Computer Science ,Computational Theory and Mathematics ,Trusted computing base ,Hardware and Architecture ,Hardware Trojan ,Software security assurance ,Direct Anonymous Attestation ,Trusted Platform Module ,business ,computer ,Software ,Hengzhi chip ,Computer network - Abstract
Hardware security has become more and more important in current information security architecture. Recently collected reports have shown that there may have been considerable hardware attacks prepared for possible military usage from all over the world. Due to the intrinsic difference from software security, hardware security has some special features and challenges. In order to guarantee hardware security, academia has proposed the concept of trusted integrated circuits, which aims at a secure circulation of IC design, manufacture and chip using. This paper reviews the main problems of trusted integrated circuits, and concludes four key domains of the trusted IC, namely the trusted IC design, trusted manufacture, trusted IP protection, and trusted chip authentication. The main challenges in those domains are also analyzed based on the current known techniques. Finally, the main limitations of the current techniques and possible future trends are discussed.
- Published
- 2014
48. Length matching in detailed routing for analog and mixed signal circuits
- Author
-
Hailong Yao, Chiu-Wing Sham, Yici Cai, Qiang Zhou, and Qiang Gao
- Subjects
Router ,Analog signal ,Matching (graph theory) ,Backtracking ,Search algorithm ,Computer science ,Hardware_INTEGRATEDCIRCUITS ,General Engineering ,Mixed-signal integrated circuit ,Electronic design automation ,Parallel computing ,Routing (electronic design automation) ,Algorithm - Abstract
Heterogeneous integration in modern System-On-Chips (SOCs) drives the design automation process for analog and mixed signal circuit components, where matching constraints for certain analog signals are critical for correct functionality. This paper presents a detailed routing solution for analog nets with the single-layer length matching constraint called LEMAR, i.e., a single-layer LEngth MAtching Router. LEMAR is a gridless router using a non-uniform grid routing model to ensure the length matching constraint. LEMAR has the following features: (1) an effective routing model is used for layout partitioning and wire detouring, (2) detouring patterns are presented to obtain the given detouring length, and (3) an enhanced A ⁎ search algorithm along with backtracking technique is presented for finding a routing solution with the length matching constraint. Experimental results are promising.
- Published
- 2014
49. Friendly Fast Poisson Solver Preconditioning Technique for Power Grid Analysis
- Author
-
Jin Shi, Qiang Zhou, Jianlei Yang, and Yici Cai
- Subjects
Very-large-scale integration ,Preconditioner ,Parallel computing ,Solver ,Incomplete Cholesky factorization ,Poisson distribution ,Power (physics) ,symbols.namesake ,Hardware and Architecture ,Conjugate gradient method ,symbols ,Electrical and Electronic Engineering ,Power network design ,Software ,Mathematics - Abstract
Robust and efficient algorithms for power grid analysis are crucial for both VLSI design and optimization. Due to the increasing size of power grids, IR drop analysis has become more computationally challenging both in runtime and memory consumption. This paper presents a Fast Poisson Solver (FPS) preconditioned method for unstructured power grids with unideal boundary conditions. Unstructured power grids are transformed to structured grids, which can be modeled as Poisson blocks by analytic formulation. The analytic formulation of transformed structured grids is adopted as an analytic preconditioner for original unstructured grids, in which the analytic preconditioner can be considered as a sparse approximate inverse technique. By combining this analytic preconditioner with robust conjugate gradient method, we demonstrate that this approach is totally robust for extremely large scale power grid simulations. Theoretical proof and experimental results show that iterations of our proposed method will hardly increase with the increasing of grid size as long as the pads density and the distribution range of metal conductance value have been decided. We demonstrate that the run efficiency of our approach is much higher than classical incomplete Cholesky factorization preconditioned conjugate gradient solver and random walk-based hybrid solver.
- Published
- 2014
50. Fast and scalable parallel layout decomposition in double patterning lithography
- Author
-
Yici Cai, Hailong Yao, Subarna Sinha, Wei Zhao, and Charles C. Chiang
- Subjects
Speedup ,Computer science ,Extreme ultraviolet lithography ,Parallel computing ,Integrated circuit layout ,Hardware and Architecture ,Scalability ,Hardware_INTEGRATEDCIRCUITS ,Multiple patterning ,Decomposition (computer science) ,Electrical and Electronic Engineering ,Lithography ,Software ,Next-generation lithography - Abstract
For 32/22nm technology nodes and below, double patterning (DP) lithography has become the most promising interim solutions due to the delay in the deployment of next generation lithography (e.g., EUV). DP requires the partitioning of the layout patterns into two different masks, a procedure called layout decomposition. Layout decomposition is a key computational step that is necessary for double patterning technology. Existing works on layout decomposition are all single-threaded, which is not scalable in runtime and/or memory for large industrial layouts. This paper presents the first window-based parallel layout decomposition methods for improving both runtime and memory consumption. Experimental results are promising and show the presented parallel layout decomposition methods obtain upto 21x speedup in runtime and upto 7.5xreduction in peak memory consumption with acceptable solution quality.
- Published
- 2014
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.