Search

Showing total 273 results

Search Constraints

Start Over You searched for: Topic conductivity Remove constraint Topic: conductivity Journal ieee transactions on electron devices Remove constraint Journal: ieee transactions on electron devices Publisher ieee Remove constraint Publisher: ieee
273 results

Search Results

1. Impact of Substrate Resistivity on the Vertical Leakage, Breakdown, and Trapping in GaN-on-Si E-Mode HEMTs.

2. Variability Study of MWCNT Local Interconnects Considering Defects and Contact Resistances—Part II: Impact of Charge Transfer Doping.

3. All CVD Boron Nitride Encapsulated Graphene FETs With CMOS Compatible Metal Edge Contacts.

4. TiSi(Ge) Contacts Formed at Low Temperature Achieving Around 2 \,\, \times \,\, 10^-9~\Omega cm2 Contact Resistivities to p-SiGe.

5. InGaAs Quantum-Well MOSFET Arrays for Nanometer-Scale Ohmic Contact Characterization.

6. Application of Differential Electrodes in a Dielectrophoresis-Based Device for Cell Separation.

7. Layout Design Correlated With Self-Heating Effect in Stacked Nanosheet Transistors.

8. Electrical and Thermal Models of CNT TSV and Graphite Interface.

9. Improved Ohmic Performance by the Metallic Bilayer Contact Stack of Oxygen-Incorporated La/Ultrathin TiSix on n-Si.

10. Surface Trap-Induced Conductivity Type Switching in Semiconductor Nanowires: Analytical and Numerical Analyses.

11. Dual-Layer Dielectric Stack for Thermally Isolated Low-Energy Phase-Change Memory.

12. Effects of Die-Attach Material and Ambient Temperature on Properties of High-Power COB Blue LED Module.

13. Impact of Self-Heating on the Statistical Variability in Bulk and SOI FinFETs.

14. Engineering Electrical Interfaces to Silicon via Indium Solder.

15. Measurement of the Variable Surface Charge Concentration in Gallium Nitride and Implications on Device Modeling and Physics.

16. Analysis on Self-Heating Effects in Three-Stacked Nanoplate FET.

17. Understanding Electromigration in Cu-CNT Composite Interconnects: A Multiscale Electrothermal Simulation Study.

18. Impact of Current Filaments on the Material and Output Characteristics of GaAs Photoconductive Switches.

19. Effects of Metal–Interlayer–Semiconductor Source/Drain Contact Structure on n-Type Germanium Junctionless FinFETs.

20. Ionic Transport Barrier Tuning by Composition in Pr1–xCaxMnO3-Based Selector-Less RRAM and Its Effect on Memory Performance.

21. Investigation on Self-Adjust Conductivity Modulation SOI-LIGBT Structure (SCM-LIGBT) for Monolithic High-Voltage IC.

22. Localization of Joule Heating in Phase-Change Memory With Incorporated Nanostructures and Nanolayer for Reducing Reset Current.

23. Evaluating Chip-Level Impact of Cu/Low- $\kappa $ Performance Degradation on Circuit Performance at Future Technology Nodes.

24. Analytical Modeling of Oxide-Based Bipolar Resistive Memories and Complementary Resistive Switches.

25. High Density Solenoidal Series Pair Symmetric Inductors and Transformers.

26. A Novel Programming Technique to Boost Low-Resistance State Performance in Ge-Rich GST Phase Change Memory.

27. Modeling of Via Resistance for Advanced Technology Nodes.

28. Screen Printable Flexible BiTe–SbTe-Based Composite Thermoelectric Materials on Textiles for Wearable Applications.

29. Modeling of Fermi-Level Pinning Alleviation With MIS Contacts: n and pMOSFETs Cointegration Considerations—Part I.

30. Assessment of Self-Induced Joule-Heating Effect in the I–V Readout Region of Polycrystalline \Ge2\Sb2\Te5 Phase-Change Memory.

31. Copper–Cobalt Thermoelectric Generators: Power Improvement Through Optimized Thickness and Sandwiched Planar Structure.

32. Investigation of Pt-Salt-Doped-Standalone- Multiwall Carbon Nanotubes for On-Chip Interconnect Applications.

33. Synthesis of MgO Thin Film on Aluminum and Copper Substrates as Thermal Interface Materials.

34. Thermal-Aware Shallow Trench Isolation Design Optimization for Minimizing ${I}_{OFF}$ in Various Sub-10-nm 3-D Transistors.

35. High-Frequency Behavior of Graphene-Based Interconnects—Part II: Impedance Analysis and Implications for Inductor Design.

36. Assessment of THz Performance for a Lateral SiGe HBT on SOI With a Laterally Graded Base.

37. Impact of Ge Preamorphization Implantation on Both the Formation of Ultrathin TiSix and the Specific Contact Resistivity in TiSix/n-Si Contacts.

38. Dopingless Tunnel Field-Effect Transistor With Oversized Back Gate: Proposal and Investigation.

39. Simulation Study of an Injection Enhanced Insulated-Gate Bipolar Transistor With p-Base Schottky Contact.

40. Cu Nanolines for RF Interconnects: Electrical Characterization.

41. Channel Temperature Analysis of GaN HEMTs With Nonlinear Thermal Conductivity.

42. Statistical Simulation Study of Metal Grain-Orientation-Induced MS and MIS Contact Resistivity Variability for 7-nm FinFETs.

43. Thermal Analysis of High-Average Power Helix Traveling-Wave Tube.

44. The Possibility of mW/cm2-Class On-Chip Power Generation Using Ultrasmall Si Nanowire-Based Thermoelectric Generators.

45. Small- and Large-Signal Performance Up To 175 °C of Low-Cost Porous Silicon Substrate for RF Applications.

46. A Self-Consistent, Semiclassical Electrothermal Modeling Framework for Mott Devices.

47. Memristor: Part II–DC, Transient, and RF Analysis.

48. Low-Resistivity Long-Length Horizontal Carbon Nanotube Bundles for Interconnect Applications—Part I: Process Development.

49. Low-Resistivity Long-Length Horizontal Carbon Nanotube Bundles for Interconnect Applications—Part II: Characterization.

50. Role of the Insulating Fillers in the Encapsulation Material on the Lateral Charge Spreading in HV-ICs.