Search

Showing total 857 results

Search Constraints

Start Over You searched for: Search Limiters Available in Library Collection Remove constraint Search Limiters: Available in Library Collection Publication Type Electronic Resources Remove constraint Publication Type: Electronic Resources Journal ieee transactions on semiconductor manufacturing Remove constraint Journal: ieee transactions on semiconductor manufacturing Publisher ieee Remove constraint Publisher: ieee
857 results

Search Results

201. Development of Novel Solar Cell Micro Crack Detection Technique.

202. A Novel DBSCAN-Based Defect Pattern Detection and Classification Framework for Wafer Bin Map.

203. Robust Control of Overlay Errors in Photolithography Processes.

204. Denoised Residual Trace Analysis for Monitoring Semiconductor Process Faults.

205. Study on the Wear Characteristics of a Lapping Wheel in Double-Sided Lapping Based on the Trajectory Distribution.

206. Residual Stress and Pop-Out Simulation for TSVs and Contacts in Via-Middle Process.

207. Process Variation Analysis and Optimization of a FinFET-Based VCO.

208. 2016 Index IEEE Transactions on Semiconductor Manufacturing Vol. 29.

209. Managing Pattern-Specific Fixed Costs in Integrated Device Manufacturing.

210. Overall Space Effectiveness (OSE) for Enhancing Fab Space Productivity.

211. Feature Extraction From Analog Wafermaps: A Comparison of Classical Image Processing and a Deep Generative Model.

212. On-Chip Threshold Voltage Variability Estimation Using Reconfigurable Ring Oscillator.

213. Marker Layout for Optimizing the Overlay Alignment in a Photolithography Process.

214. Improved Normalized Cross-Correlation for Defect Detection in Printed-Circuit Boards.

215. ${K}$ -Cyclic Schedules and the Worst-Case Wafer Delay in a Dual-Armed Cluster Tool.

216. Characteristic Curves and Cycle Time Control of Re-Entrant Lines.

217. A Novel Method for Deposit Accumulation Assessment in Dry Etching Chamber.

218. A Voting Ensemble Classifier for Wafer Map Defect Patterns Identification in Semiconductor Manufacturing.

219. Convolutional Neural Network for Wafer Surface Defect Classification and the Detection of Unknown Defect Class.

220. An Extended State Observer-Based Run to Run Control for Semiconductor Manufacturing Processes.

221. Statistical Process Control for Monitoring the Particles With Excess Zero Counts in Semiconductor Manufacturing.

222. A 0.18- $\mu$ m LDMOS With Excellent Ronsp and Uniformity by Optimized Manufacture Process.

223. In-Line Metrology for Characterization and Control of Extreme Wafer Thinning of Bonded Wafers.

224. A Condition Change Detection Method for Solar Conversion Efficiency in Solar Cell Manufacturing Processes.

225. Nanoscale Metrology of Line Patterns on Semiconductor by Continuous Wave Terahertz Multispectral Reconstructive 3-D Imaging Overcoming the Abbe Diffraction Limit.

226. A Productivity-Oriented Wafer Map Optimization Using Yield Model Based on Machine Learning.

227. Fabrication and Characterization of a Low Parasitic Capacitance and Low-Stress Si Interposer for 2.5-D Integration.

228. Evolution, Challenges and Attributes of Near Micron Sized TaN Resistors for Mixed Signal IC Applications From a Lithography Perspective.

229. A NMF-Based Image Restoration Scheme With Applications to LED Integrated Substrate Defect Detection.

230. An Improved GMM-Based Algorithm With Optimal Multi-Color Subspaces for Color Difference Classification of Solar Cells.

231. A Highly Manufacturable 75–150 VDC GaN-SiC RF Technology for Radars and Particle Accelerators.

232. A Conversion Methodology for 200 mm Bulk Acoustic Wave Filter Production Line at Qorvo.

233. Practical Routing Algorithm Using a Congestion Monitoring System in Semiconductor Manufacturing.

234. Mechanism and Resolution of Implant Induced Electrical Discharge Damage in GaAs IC.

235. Effect of External Mechanical Stress on DC Performance and Reliability of Integrated E/D GaN HEMTs.

236. Impact of TiN Barrier Layer on Contact Resistance of Tungsten Filled Vias.

237. Maximizing Output During Ramp by Integrating Capacity and Velocity.

238. Wafer Level Variability Improvement by Spatial Source/Drain Activation and Ion Implantation Super Scan for FinFET Technology.

239. Modeling of Epitaxial Silicon Growth From the DCS-H2-HCl System in a Large Scale CVD Reactor.

240. Classification of Mixed-Type Defect Patterns in Wafer Bin Maps Using Convolutional Neural Networks.

241. A Computer Vision-Inspired Deep Learning Architecture for Virtual Metrology Modeling With 2-Dimensional Data.

242. Just-In-Time Modeling With Variable Shrinkage Based on Gaussian Processes for Semiconductor Manufacturing.

243. A Strategy to Characterize Nanofabrication Processes With Large RPM (Experimental Run, Physics, and Measurement) Uncertainties.

244. Process Development and Optimization for 3 \mu \textm High Aspect Ratio Via-Middle Through-Silicon Vias at Wafer Level.

245. Novel Approaches to Optimizing Carrier Logistics in Semiconductor Manufacturing.

246. Contact Chains for FinFET Technology Characterization.

247. Measurement and Analysis of Seismic Response in Semiconductor Manufacturing Equipment.

248. Surface Copper Voids in BEOL Copper Metal Layers.

249. Editorial.

250. Development of an Ultralong Ultralow n-Loop for Wire Bonding.