Search

Showing total 1,008 results

Search Constraints

Start Over You searched for: Search Limiters Available in Library Collection Remove constraint Search Limiters: Available in Library Collection Publication Type Academic Journals Remove constraint Publication Type: Academic Journals Journal integration: the vlsi journal Remove constraint Journal: integration: the vlsi journal Publisher elsevier b.v. Remove constraint Publisher: elsevier b.v.
1,008 results

Search Results

1. Design-for-reliability and on-the-fly fault tolerance procedure for paper-based digital microfluidic biochips with multiple faults.

2. Low cost optimized Trojan secured schedule at behavioral level for single & Nested loop control data flow graphs (Invited Paper).

20. FPGA-based parallel implementation to classify Hyperspectral images by using a Convolutional Neural Network.

21. Highly stable soft-error immune SRAM with multi-node upset recovery for aerospace applications.

22. Efficient hardware mapping of Boolean substitution boxes based on functional decomposition for RFID and ISM band IoT applications.

23. LQNTL: Low-overhead quadruple-node-upset self-recovery latch based on triple-mode redundancy.

24. Delay based hardware Trojan detection exploiting spatial correlations to suppress variations.

25. SAND-2: An optimized implementation of lightweight block cipher.

26. A high-speed 13-bit two-step single-slope ADC for large array CMOS image sensors.

27. Low-power and high-speed SRAM cells for double-node-upset recovery.

28. Comments on "New low power and fast SEC-DAEC and SEC-DAEC-TAEC codes for memories in space application".

29. Novel tunable current feedback instrumentation amplifier based on BBFC OP-AMP for biomedical applications with low power and high CMRR.

30. High-throughput and area-efficient architectures for image encryption using PRINCE cipher.

31. Dynamics analysis, FPGA realization and image encryption application of a 5D memristive exponential hyperchaotic system.

32. A hybrid equivalent source—particle swarm optimization model for accurate near-field to far-field conversion.

33. A transparent virtual channel power gating method for on-chip network routers.

34. Efficient hardware implementations of lightweight Simeck Cipher for resource-constrained applications.

35. A fast transient response current-feedback low-dropout regulator with dynamic current-enhancement technique.

36. Design of an adaptive winner takes all circuit explaining features of binocular rivalry in visual brain.

37. Design of highly reliable radiation hardened 10T SRAM cell for low voltage applications.

38. A reconfigurable test method based on LFSR for 3D stacking integrated circuits.

39. Machine learning classification algorithm for VLSI test cost reduction.

40. A survey on machine learning-based routing for VLSI physical design.

41. Design of CMOS fully differential multipath two-stage OTA with boosted slew rate and power efficiency.

42. Placement legalization for heterogeneous cells of non-integer multiple-heights.

43. On Minimizing Charge Injection Error Using Multi-Dummy Switches With Enhanced Linearity.

44. A functional block decomposition method for automatic op-amp design.

45. A memristive chaotic system with rich dynamical behavior and circuit implementation.

46. A novel systolic array processor with dynamic dataflows.

47. A novel one-equilibrium memristive chaotic system with multi-parameter amplitude modulation and large-scale offset boosting.

48. A sequential strong PUF architecture based on reconfigurable neural networks (RNNs) against state-of-the-art modeling attacks.

49. Energy efficient multiply-accumulate unit using novel recursive multiplication for error-tolerant applications.

50. Concurrent Steiner Tree Selection for Global routing with EUVL Flare Reduction.