Search

Showing total 828 results

Search Constraints

Start Over You searched for: Topic low-power Remove constraint Topic: low-power Publication Year Range Last 10 years Remove constraint Publication Year Range: Last 10 years Language english Remove constraint Language: english
828 results

Search Results

1. 46‐3: Invited Paper: Ultra Low Power Color ePaper Signage Displays for Outdoor Use.

3. Towards a Wireless and Low-Power Infrastructure for Representing Information Based on E-Paper Displays.

4. Smart Sticker Ultra-Low-Power Shock Detection in the Supply Chain.

6. 54.1: Invited Paper: High‐Transmission and High‐Contrast‐Ratio AIFF MVA LCDs without Rubbing, Protrusion, ITO Slit and Photoalignment Process.

8. A 12T low-power full adder cell with a novel dynamic circuit.

9. An Analysis of Blockchain-Based IoT Sensor Network Distributed Denial of Service Attacks.

10. A 0.5 V, 32 nW Compact Inverter-Based All-Filtering Response Modes Gm-C Filter for Bio-Signal Processing.

11. High-Speed, Low-Power, and Area-Efficient 5T4M Memristor-Based Ternary Content Addressable Memory.

12. Low-Power Preprocessing System at MCU-Based Application Nodes for Reducing Data Transmission.

13. Flexible Organic Electrochemical Transistors for Energy-Efficient Neuromorphic Computing.

14. Constrained Flooding Based on Time Series Prediction and Lightweight GBN in BLE Mesh.

15. An evaluation of relational and NoSQL distributed databases on a low-power cluster.

16. Enhancing Power Efficiency in Branch Target Buffer Design with a Two-Level Prediction Mechanism.

17. Computationally efficient low-power sigma delta modulation-based image processing algorithm.

18. GazeTrak: Exploring Acoustic-based Eye Tracking on a Glass Frame.

19. A ZERO-Power Sensor Using Multi-Port Direct-Conversion Sensing.

20. Design and Implementation of CNFET SRAM Cells by Using Multi-Threshold Technique.

21. A Low-Power Wireless System for Predicting Early Signs of Sudden Cardiac Arrest Incorporating an Optimized CNN Model Implemented on NVIDIA Jetson.

22. DarkReader: Bridging the Gap Between Perception and Reality of Power Consumption in Smartphones for Blind Users.

23. FinFET-based 11T sub-threshold SRAM with improved stability and power.

24. Analysis of an operational trans-conductance amplifier with positive feedback.

25. Research progress on low-power artificial intelligence of things (AIoT) chip design.

26. Study of Energy-Efficient Biomedical Data Compression Methods in the Wireless Body Area Networks (WBANs) and Remote Healthcare Networks.

27. Low Power and Fully Nonvolatile Full-Adder Based on STT-SHE-MRAM.

28. A Schmitt-Trigger-Based Low-Voltage 11 T SRAM Cell for Low-Leakage in 7-nm FinFET Technology.

29. Freezing: Eliminating Unnecessary Drawing Computation for Low Power.

30. A Novel Low-Power High-Precision Implementation for Sign–Magnitude DLMS Adaptive Filters.

31. A Low-Power Vision System With Adaptive Background Subtraction and Image Segmentation for Unusual Event Detection.

32. ASIC Design of Low Power Sobel Edge Detection Filter: An Analog Approach.

33. An area‐effective and low‐power single‐slope ADC for DCG imaging CMOS image sensor.

34. Circuit Designs of High-Performance and Low-Power RRAM-Based Multiplexers Based on 4T(ransistor)1R(RAM) Programming Structure.

35. A 40-nm low-power WiFi SoC with clock gating and power management strategy.

36. Low-power and high-speed SRAM cells for double-node-upset recovery.

37. Design and application of a novel low-voltage low-power OTA using signal attenuation technique for high linearity.

38. A Time-Domain Comparator Based Skipping-Window SAR ADC.

39. A 4–6 GHz Single-Ended to Differential-Ended Low-Noise Amplifier for IEEE 802.11ax Wireless Applications with Inherent Complementary Distortion Cancellation.

40. Cost-Effective Robustness in Clock Networks Using Near-Tree Structures.

41. Adaptive Pulse Width Control and Sampling for Low Power Pulse Oximetry.

42. SpikeOnChip : A Custom Embedded Platform for Neuronal Activity Recording and Analysis.

43. A robust multi-bit soft-error immune SRAM cell for low-power applications.

44. An approximate randomization-based neural network with dedicated digital architecture for energy-constrained devices.

45. A 134-nW Single BJT Bandgap Voltage and Current Reference in 0.18-µm CMOS.

46. A 1-mW Class-AB Amplifier With −101 dB THD+N for High-Fidelity 16 $\Omega$ Headphones in 65-nm CMOS.

47. An 88-fJ/40-MHz [0.4 V]–0.61-pJ/1-GHz [0.9 V] Dual-Mode Logic 8 $\times$ 8 bit Multiplier Accumulator With a Self-Adjustment Mechanism in 28-nm FD-SOI.

48. A Wireless Respiratory Monitoring System Using a Wearable Patch Sensor Network.

49. A Reliable Low Standby Power 10T SRAM Cell With Expanded Static Noise Margins.

50. Low-Cutoff Frequency Reduction in Neural Amplifiers: Analysis and Implementation in CMOS 65 nm.