Search

Your search keyword '"Kuroda, Tadahiro"' showing total 156 results

Search Constraints

Start Over You searched for: Author "Kuroda, Tadahiro" Remove constraint Author: "Kuroda, Tadahiro" Language english Remove constraint Language: english
156 results on '"Kuroda, Tadahiro"'

Search Results

2. Micron-to-Submicron Cu electroplating in view of Agile-X LSI Chips Fabrication using Open Facility

4. Proximity Wireless Communication Technologies: An Overview and Design Guidelines.

5. A bonding-less 5 GHz RFID module using inductive coupling between IC and antenna.

6. A 6-Gb/s Inductively-Powered Non-Contact Connector With Rotatable Transmission Line Coupler and Interface Bridge IC.

8. Low-Power and ppm-Level Multimolecule Detection by Integration of Self-Heated Metal Nanosheet Sensors.

9. A 96-MB 3D-Stacked SRAM Using Inductive Coupling With 0.4-V Transmitter, Termination Scheme and 12:1 SerDes in 40-nm CMOS.

12. QUEST: Multi-Purpose Log-Quantized DNN Inference Engine Stacked on 96-MB 3-D SRAM Using Inductive Coupling Technology in 40-nm CMOS.

14. BRein Memory: A Single-Chip Binary/Ternary Reconfigurable in-Memory Deep Neural Network Accelerator Achieving 1.4 TOPS at 0.6 W.

18. A 6 Gb/s 6 pJ/b 5 mm-Distance Non-Contact Interface for Modular Smartphones Using Two-Fold Transmission Line Coupler and High EMC Tolerant Pulse Transceiver.

19. An Inductively Powered Wireless Solid-State Drive System With Merged Error Correction of High-Speed Wireless Data Links and NAND Flash Memories.

20. Efficient 3-D Bus Architectures for Inductive-Coupling ThruChip Interfaces.

22. Crosstalk Rejection in 3-D-Stacked Interchip Communication With Blind Source Separation.

23. An 8 bit 0.3–0.8 V 0.2–40 MS/s 2-bit/Step SAR ADC With Successively Activated Threshold Configuring Comparators in 40 nm CMOS.

28. A case for wireless 3D NoCs for CMPs.

31. A 12.5Gb/s/link non-contact multi drop bus system with impedance-matched transmission line couplers and Dicode partial-response channel transceivers.

32. A 100Mb/s 13.7pJ/bit DC-960MHz band plesiochronous IR-UWB receiver with costas-loop based synchronization scheme in 65nm CMOS.

33. A 9-bit 100MS/s tri-level charge redistribution SAR ADC with asymmetric CDAC array.

34. A 0.7V 4.1mW 850Mbps/ch inductive-coupling transceiver with adaptive pulse width controller in 65nm CMOS.

36. CMA-Cube: A scalable reconfigurable accelerator with 3-D wireless inductive coupling interconnect.

37. An 8bit 0.35–0.8V 0.5–30MS/s 2bit/step SAR ADC with wide range threshold configuring comparator.

40. 3-D NoC on Inductive Wireless Interconnect.

41. Inductive Coupled Communications.

44. A 280 Mb/s In-Vehicle LAN System Using Electromagnetic Clip Connector and High-EMC Transceiver.

45. 3D NoC with Inductive-Coupling Links for Building-Block SiPs.

46. Highlights of the ISSCC 2013 Processors and High Performance Digital Sessions.

47. A 0.15-mm-Thick Noncontact Connector for MIPI Using a Vertical Directional Coupler.

48. A Scalable 3D Heterogeneous Multicore with an Inductive ThruChip Interface.

49. A 0.5-V 5.2-fJ/Conversion-Step Full Asynchronous SAR ADC With Leakage Power Reduction Down to 650 pW by Boosted Self-Power Gating in 40-nm CMOS.

Catalog

Books, media, physical & digital resources