Search

Your search keyword '"Kuroda, Tadahiro"' showing total 68 results

Search Constraints

Start Over You searched for: Author "Kuroda, Tadahiro" Remove constraint Author: "Kuroda, Tadahiro" Publication Type Academic Journals Remove constraint Publication Type: Academic Journals
68 results on '"Kuroda, Tadahiro"'

Search Results

2. A bonding-less 5 GHz RFID module using inductive coupling between IC and antenna.

3. A 6-Gb/s Inductively-Powered Non-Contact Connector With Rotatable Transmission Line Coupler and Interface Bridge IC.

5. Low-Power and ppm-Level Multimolecule Detection by Integration of Self-Heated Metal Nanosheet Sensors.

8. QUEST: Multi-Purpose Log-Quantized DNN Inference Engine Stacked on 96-MB 3-D SRAM Using Inductive Coupling Technology in 40-nm CMOS.

9. BRein Memory: A Single-Chip Binary/Ternary Reconfigurable in-Memory Deep Neural Network Accelerator Achieving 1.4 TOPS at 0.6 W.

10. A 6 Gb/s 6 pJ/b 5 mm-Distance Non-Contact Interface for Modular Smartphones Using Two-Fold Transmission Line Coupler and High EMC Tolerant Pulse Transceiver.

11. An Inductively Powered Wireless Solid-State Drive System With Merged Error Correction of High-Speed Wireless Data Links and NAND Flash Memories.

12. Efficient 3-D Bus Architectures for Inductive-Coupling ThruChip Interfaces.

13. Crosstalk Rejection in 3-D-Stacked Interchip Communication With Blind Source Separation.

14. An 8 bit 0.3–0.8 V 0.2–40 MS/s 2-bit/Step SAR ADC With Successively Activated Threshold Configuring Comparators in 40 nm CMOS.

15. 3D NoC with Inductive-Coupling Links for Building-Block SiPs.

16. Highlights of the ISSCC 2013 Processors and High Performance Digital Sessions.

17. A 0.15-mm-Thick Noncontact Connector for MIPI Using a Vertical Directional Coupler.

18. A Scalable 3D Heterogeneous Multicore with an Inductive ThruChip Interface.

19. A 0.5-V 5.2-fJ/Conversion-Step Full Asynchronous SAR ADC With Leakage Power Reduction Down to 650 pW by Boosted Self-Power Gating in 40-nm CMOS.

20. A 12-Gb/s Non-Contact Interface With Coupled Transmission Lines.

21. Rotary Coding for Power Reduction and S/N Improvement in Inductive-Coupling Data Communication.

22. 1-W 3.3–16.3-V Boosting Wireless Power Transfer Circuits With Vector Summing Power Controller.

23. A 0.025–0.45 W 60%-Efficiency Inductive-Coupling Power Transceiver With 5-Bit Dual-Frequency Feedforward Control for Non-Contact Memory Cards.

24. Simultaneous 6-Gb/s Data and 10-mW Power Transmission Using Nested Clover Coils for Noncontact Memory Card.

25. A 65fJ/b Inter-Chip Inductive-Coupling Data Transceivers Using Charge-Recycling Technique for Low-Power Inter-Chip Communication in 3-D System Integration.

26. A 10-Bit 80-MS/s Decision-Select Successive Approximation TDC in 65-nm CMOS.

27. A 0.5 V 1.1 MS/sec 6.3 fJ/Conversion-Step SAR-ADC With Tri-Level Comparator in 40 nm CMOS.

28. A 14-GHz AC-Coupled Clock Distribution Scheme With Phase Averaging Technique Using Single LC-VCO and Distributed Phase Interpolators.

29. Analysis and Techniques for Mitigating Interference From Power/Signal Lines and to SRAM Circuits in CMOS Inductive-Coupling Link for Low-Power 3-D System Integration.

30. A 30 Gb/s/Link 2.2 Tb/s/mm^2 Inductively-Coupled Injection-Locking CDR for High-Speed DRAM Interface.

31. A 0.55 V 10 fJ/bit Inductive-Coupling Data Link and 0.7 V 135 fJ/Cycle Clock Link With Dual-Coil Transmission Scheme.

32. An Inductive-Coupling DC Voltage Transceiver for Highly Parallel Wafer-Level Testing.

33. Modeling and Experimental Verification of Misalignment Tolerance in Inductive-Coupling Inter-Chip Link for Low-Power 3-D System Integration.

35. 3-D System Integration of Processor and Multi-Stacked SRAMs Using Inductive-Coupling Link.

36. 2 Gb/s 15 pJ/b/chip Inductive-Coupling Programmable Bus for NAND Flash Memory Stacking.

37. A High-Speed Inductive-Coupling Link With Burst Transmission.

38. A 750 Mb/s, 12 pJ/b, 6-to-10 GHz CMOS IR-UWB Transmitter With Embedded On-Chip Antenna.

39. A 2 Gb/s Bi-Directional Inter-Chip Data Transceiver With Differential Inductors for High Density Inductive Channel Array.

40. 20-GHz Quadrature Injection-Locked LC Dividers With Enhanced Locking Range.

41. A 0.14 pJ/b Inductive-Coupling Transceiver With Digitally-Controlled Precise Pulse Shaping.

42. A 0.79-mm2 29-mW Real-Time Face Detection Core.

43. Crosstalk Countermeasures for High-Density Inductive-Coupling Channel Array.

44. A 1 Tb/s 3 W Inductive-Coupling Transceiver for 3D-Stacked Inter-Chip Clock and Data Link.

45. A CMOS Ultra-Wideband Impulse Radio Transceiver for 1-Mb/s Data Communications and +2.5-cm Range Finding.

46. A 195-Gb/s 1.2-W Inductive Inter-Chip Wireless Superconnect With Transmit Power Control Scheme for 3-D-Stacked System in a Package.

47. A 40-Gb/s CMOS Clocked Comparator With Bandwidth Modulation Technique.

48. A 10-Gb/s Receiver With Series Equalizer and On-Chip ISI Monitor in 0.11-μm CMOS.

49. Analysis and Design of Inductive Coupling and Transceiver Circuit for Inductive Inter-Chip Wireless Superconnect.

50. Low-power circuit design techniques for multimedia CMOS VLSIs.

Catalog

Books, media, physical & digital resources