90 results on '"Thean, Aaron Voon-Yew"'
Search Results
2. Technology Roadmap for Flexible Sensors
- Author
-
Luo, Yifei, Abidian, Mohammad Reza, Ahn, Jong-Hyun, Akinwande, Deji, Andrews, Anne M, Antonietti, Markus, Bao, Zhenan, Berggren, Magnus, Berkey, Christopher A, Bettinger, Christopher John, Chen, Jun, Chen, Peng, Cheng, Wenlong, Cheng, Xu, Choi, Seon-Jin, Chortos, Alex, Dagdeviren, Canan, Dauskardt, Reinhold H, Di, Chong-an, Dickey, Michael D, Duan, Xiangfeng, Facchetti, Antonio, Fan, Zhiyong, Fang, Yin, Feng, Jianyou, Feng, Xue, Gao, Huajian, Gao, Wei, Gong, Xiwen, Guo, Chuan Fei, Guo, Xiaojun, Hartel, Martin C, He, Zihan, Ho, John S, Hu, Youfan, Huang, Qiyao, Huang, Yu, Huo, Fengwei, Hussain, Muhammad M, Javey, Ali, Jeong, Unyong, Jiang, Chen, Jiang, Xingyu, Kang, Jiheong, Karnaushenko, Daniil, Khademhosseini, Ali, Kim, Dae-Hyeong, Kim, Il-Doo, Kireev, Dmitry, Kong, Lingxuan, Lee, Chengkuo, Lee, Nae-Eung, Lee, Pooi See, Lee, Tae-Woo, Li, Fengyu, Li, Jinxing, Liang, Cuiyuan, Lim, Chwee Teck, Lin, Yuanjing, Lipomi, Darren J, Liu, Jia, Liu, Kai, Liu, Nan, Liu, Ren, Liu, Yuxin, Liu, Yuxuan, Liu, Zhiyuan, Liu, Zhuangjian, Loh, Xian Jun, Lu, Nanshu, Lv, Zhisheng, Magdassi, Shlomo, Malliaras, George G, Matsuhisa, Naoji, Nathan, Arokia, Niu, Simiao, Pan, Jieming, Pang, Changhyun, Pei, Qibing, Peng, Huisheng, Qi, Dianpeng, Ren, Huaying, Rogers, John A, Rowe, Aaron, Schmidt, Oliver G, Sekitani, Tsuyoshi, Seo, Dae-Gyo, Shen, Guozhen, Sheng, Xing, Shi, Qiongfeng, Someya, Takao, Song, Yanlin, Stavrinidou, Eleni, Su, Meng, Sun, Xuemei, Takei, Kuniharu, Tao, Xiao-Ming, Tee, Benjamin CK, Thean, Aaron Voon-Yew, and Trung, Tran Quang
- Subjects
Data Management and Data Science ,Information and Computing Sciences ,Humans ,Wearable Electronic Devices ,Quality of Life ,soft materials ,mechanics engineering ,flexible electronics ,conformable sensors ,bioelectronics ,human-machine interfaces ,body area sensor networks ,technology translation ,sustainable electronics ,Nanoscience & Nanotechnology - Abstract
Humans rely increasingly on sensors to address grand challenges and to improve quality of life in the era of digitalization and big data. For ubiquitous sensing, flexible sensors are developed to overcome the limitations of conventional rigid counterparts. Despite rapid advancement in bench-side research over the last decade, the market adoption of flexible sensors remains limited. To ease and to expedite their deployment, here, we identify bottlenecks hindering the maturation of flexible sensors and propose promising solutions. We first analyze challenges in achieving satisfactory sensing performance for real-world applications and then summarize issues in compatible sensor-biology interfaces, followed by brief discussions on powering and connecting sensor networks. Issues en route to commercialization and for sustainable growth of the sector are also analyzed, highlighting environmental concerns and emphasizing nontechnical issues such as business, regulatory, and ethical considerations. Additionally, we look at future intelligent flexible sensors. In proposing a comprehensive roadmap, we hope to steer research efforts towards common goals and to guide coordinated development strategies from disparate communities. Through such collaborative efforts, scientific breakthroughs can be made sooner and capitalized for the betterment of humanity.
- Published
- 2023
3. Reconfigurable nonlinear photonic activation function for photonic neural network based on non-volatile opto-resistive RAM switch
- Author
-
Xu, Zefeng, Tang, Baoshan, Zhang, Xiangyu, Leong, Jin Feng, Pan, Jieming, Hooda, Sonu, Zamburg, Evgeny, and Thean, Aaron Voon-Yew
- Published
- 2022
- Full Text
- View/download PDF
4. Non-destructive online seal integrity inspection utilizing autoencoder-based electrical capacitance tomography for product packaging assurance
- Author
-
Pan, Jieming, Yang, Zaifeng, Yap, Stephanie Hui Kit, Zhang, Xiangyu, Xu, Zefeng, Li, Yida, Luo, Yuxuan, Zamburg, Evgeny, Liu, En-Xiao, Tham, Chen-Khong, and Thean, Aaron Voon-Yew
- Published
- 2022
- Full Text
- View/download PDF
5. Significance of activation functions in developing an online classifier for semiconductor defect detection
- Author
-
Ferdaus, Md Meftahul, Zhou, Bangjian, Yoon, Ji Wei, Low, Kain Lu, Pan, Jieming, Ghosh, Joydeep, Wu, Min, Li, Xiaoli, Thean, Aaron Voon-Yew, and Senthilnath, J.
- Published
- 2022
- Full Text
- View/download PDF
6. A highly sensitive graphene oxide based label-free capacitive aptasensor for vanillin detection
- Author
-
Salila Vijayalal Mohan, Hari Krishna, Chee, Wai Kit, Li, Yida, Nayak, Suryakanta, Poh, Chueh Loo, and Thean, Aaron Voon Yew
- Published
- 2020
- Full Text
- View/download PDF
7. Liquid-metal-elastomer foam for moldable multi-functional triboelectric energy harvesting and force sensing
- Author
-
Nayak, Suryakanta, Li, Yida, Tay, Willie, Zamburg, Evgeny, Singh, Devendra, Lee, Chengkuo, Koh, Soo Jin Adrian, Chia, Patrick, and Thean, Aaron Voon-Yew
- Published
- 2019
- Full Text
- View/download PDF
8. Self-powered glove-based intuitive interface for diversified control applications in real/cyber space
- Author
-
He, Tianyiyi, Sun, Zhongda, Shi, Qiongfeng, Zhu, Minglu, Anaya, David Vera, Xu, Mengya, Chen, Tao, Yuce, Mehmet Rasit, Thean, Aaron Voon-Yew, and Lee, Chengkuo
- Published
- 2019
- Full Text
- View/download PDF
9. All WSe2 1T1R resistive RAM cell for future monolithic 3D embedded memory integration
- Author
-
Sivan, Maheswari, Li, Yida, Veluri, Hasita, Zhao, Yunshan, Tang, Baoshan, Wang, Xinghua, Zamburg, Evgeny, Leong, Jin Feng, Niu, Jessie Xuhua, Chand, Umesh, and Thean, Aaron Voon-Yew
- Published
- 2019
- Full Text
- View/download PDF
10. A dual-domain 3ω method for measuring the in-plane thermal conductivity of high-conductive thin films.
- Author
-
Liu, Paiting, Wen, Yue, Siah, Chun Fei, Pam, Mei Er, Xu, Baochang, Thean, Aaron Voon-Yew, Lim, Yeow Kheng, and Shin, Sunmi
- Subjects
THERMAL resistance ,THIN films ,THERMAL conductivity ,THERMAL conductivity measurement ,COPPER films ,HEATING control ,FILM flow - Abstract
The thermal conductivity measurement of films with submicrometer thicknesses is difficult due to their exceptionally low thermal resistance, which makes it challenging to accurately measure the temperature changes that occur as heat flows through the film. Thus, specialized and sensitive measurement techniques are required. 3ω method is a widely used and reliable tool for measuring the thermal conductivity of films. However, the high in-plane thermal conductivity in thin films results in rapid heat dissipation across the thin film, resulting in poor measurement sensitivity and making it difficult to accurately measure the temperature gradient with the traditional 3ω method. Also, the traditional 3ω method requires cross-plane thermal conductivity to derive the in-plane counterpart. Here, we introduce a dual-domain 3ω method that adopts AC-modulated heating and electrode arrays facilitating surface temperature profiling: (1) the sensitivity was significantly improved due to the employment of low-thermal-conductivity-substrate, and (2) cross-plane thermal conductivity is not required for the analysis of in-plane counterpart. This measurement platform allows us to control heat penetration in depth via varied heating frequencies as well as spatial temperature detection through laterally distributed electrodes on the thin film surface. By utilizing the described method, we have determined the in-plane thermal conductivity of a copper film, having a thickness of 300 nm, which was found to be 346 Wm
−1 K−1 and validated by the Wiedemann–Franz law. [ABSTRACT FROM AUTHOR]- Published
- 2023
- Full Text
- View/download PDF
11. A statistical Seebeck coefficient model based on percolation theory in two-dimensional disordered systems.
- Author
-
Wang, Lingfei, Thean, Aaron Voon-Yew, and Liang, Gengchiau
- Subjects
- *
SEEBECK coefficient , *PERCOLATION theory , *THERMOELECTRIC power , *THERMOELECTRIC effects , *CARRIER density , *HIGH temperatures - Abstract
In the presence of structural disorders, carrier conduction via localized hopping sites emerges in two-dimensional systems and results in a unique thermopower characteristic with T1/3 dependence. The disorders induced potential differences of hopping sites leading to energy variations along current-carrying paths. A systematic thermoelectric study is presently required in comprehending the statistical effects. Therefore, we proposed a statistical model of the Seebeck coefficient on the basis of percolation theory and hopping mechanisms. With this model, the carrier density and temperature dependences can be practically predicted. Key parameters can be extracted by calibration to molybdenum disulfide and black phosphorus experiments, providing a deeper insight into device physics. Moreover, a Mott-like analytical model is developed to investigate the parametric dependence. The thermopower deviations from the noninteracting Mott picture at high and low temperatures are analyzed. Finally, the temperature dependence on the thermoelectric figure of merit is evaluated in a variable range hopping regime. Our model is essential for a reliable prediction of the disorder induced statistical effects on thermoelectric behaviors, which guides both device optimization and material engineering. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
12. Physical Insights into Vacancy-Based Memtransistors: Toward Power Efficiency, Reliable Operation, and Scalability.
- Author
-
Sivan, Maheswari, Leong, Jin Feng, Ghosh, Joydeep, Tang, Baoshan, Pan, Jieming, Zamburg, Evgeny, and Thean, Aaron Voon-Yew
- Published
- 2022
- Full Text
- View/download PDF
13. A surface potential based compact model for two-dimensional field effect transistors with disorders induced transition behaviors.
- Author
-
Wang, Lingfei, Li, Yang, Feng, Xuewei, Ang, Kah-Wee, Gong, Xiao, Thean, Aaron Voon-Yew, and Liang, Gengchiau
- Subjects
SURFACE potential ,FIELD-effect transistors ,CARRIER density ,TRANSITION metal chalcogenides ,PHOSPHORUS ,NANORIBBONS - Abstract
A surface potential based compact model for two-dimensional field effect transistors (2D-FETs) is proposed to incorporate the structural disorders induced transition behaviors among variable range hopping (VRH), nearest neighbor hopping (NNH), and band-like transport in most 2D materials. These functions coupled with effective transport energy and multiple trapping and releasing theory enable our developed model to predict the temperature and carrier density dependent current characteristics. Its validity is confirmed by the experimental results such as the metal insulator transition (MIT) in transition metal dichalcogenides and VRH-NNH transition in black phosphorus nanoribbon. Based on this model, the band-tail effects on the crossover gate voltage of MIT behavior are quantitatively investigated. It is found that the transition behavior is closely related to the distribution of the band-tail states. Furthermore, this model is implemented in Verilog-A for circuit-level prediction and evaluation of 2D-FETs to provide deeper insight into the relationship between material properties, device physics, and circuit performances. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
14. Engineered Nucleotide Chemicapacitive Microsensor Array Augmented with Physics‐Guided Machine Learning for High‐Throughput Screening of Cannabidiol.
- Author
-
Yap, Stephanie Hui Kit, Pan, Jieming, Linh, Dao Viet, Zhang, Xiangyu, Wang, Xinghua, Teo, Wei Zhe, Zamburg, Evgeny, Tham, Chen‐Khong, Yew, Wen Shan, Poh, Chueh Loo, and Thean, Aaron Voon‐Yew
- Published
- 2022
- Full Text
- View/download PDF
15. Stress-Memorized HZO for High-Performance Ferroelectric Field-Effect Memtransistor.
- Author
-
Tsai, Shih-Hao, Fang, Zihang, Wang, Xinghua, Chand, Umesh, Chen, Chun-Kuei, Hooda, Sonu, Sivan, Maheswari, Pan, Jieming, Zamburg, Evgeny, and Thean, Aaron Voon-Yew
- Published
- 2022
- Full Text
- View/download PDF
16. Indium-Gallium-Zinc-Oxide (IGZO) Nanowire Transistors.
- Author
-
Han, Kaizhen, Kong, Qiwen, Kang, Yuye, Sun, Chen, Wang, Chengkuan, Zhang, Jishen, Xu, Haiwen, Samanta, Subhranu, Zhou, Jiuren, Wang, Haibo, Thean, Aaron Voon-Yew, and Gong, Xiao
- Subjects
INDIUM gallium zinc oxide ,ATOMIC layer deposition ,METAL semiconductor field-effect transistors ,FIELD-effect transistors ,TRANSISTORS ,NANOWIRES ,ISOPROPYL alcohol - Abstract
We report high-performance amorphous Indium-Gallium-Zinc-Oxide nanowire field-effect transistors ($\alpha $ -IGZO NW-FETs) featuring an ultrascaled nanowire width (${W}_{{\mathrm {NW}}}$) down to ~20 nm. The device with 100 nm channel length (${L}_{{\mathrm {CH}}}$) and ~25 nm ${W}_{{\mathrm {NW}}}$ achieves a decent subthreshold swing (SS) of 80 mV/dec as well as high peak extrinsic transconductance (${G}_{m,{\mathrm {ext}}}$) of $612~\mu S/\mu \text{m}$ at a drain–source voltage (${V}_{{\mathrm {DS}}}$) = 2 V ($456~\mu S/\mu \text{m}$ at ${V}_{{\mathrm {DS}}}$ = 1 V). The good electrical properties are enabled by using an ultrascaled 5 nm high- ${k}$ HfO2 as the gate dielectric, a water-free ozone-based atomic layer deposition (ALD) process, and a novel digital etch (DE) technique developed for indium-gallium-zinc-oxide (IGZO) material. By using low-power BCl3-based plasma treatment and isopropyl alcohol (IPA) rinse in an alternating way, the DE process is able to realize a cycle-by-cycle etch with an etching rate of ~1.5 nm/cycle. The scaling effects on device performance have been analyzed as well. It shows that the downscaling of ${W}_{{\mathrm {NW}}}$ improves the SS notably without sacrificing ON-state performance, and the shrinking of ${L}_{{\mathrm {CH}}}$ boosts the ${G}_{m,{\mathrm {ext}}}$. The ultrascaled $\alpha $ -IGZO NW-FETs could play an important role in applications where high performance and high density are highly desired. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
17. Hybrid-Flexible Bimodal Sensing Wearable Glove System for Complex Hand Gesture Recognition.
- Author
-
Jieming Pan, Yida Li, Yuxuan Luo, Xiangyu Zhang, Xinghua Wang, Wong, David Liang Tai, Chun-Huat Heng, Chen-Khong Tham, and Thean, Aaron Voon-Yew
- Published
- 2021
- Full Text
- View/download PDF
18. A 70-μW 1.35-mm2 Wireless Sensor With 32 Channels of Resistive and Capacitive Sensors and Edge-Encoded PWM UWB Transceiver.
- Author
-
Luo, Yuxuan, Li, Yida, Thean, Aaron Voon-Yew, and Heng, Chun-Huat
- Subjects
TRANSMITTERS (Communication) ,WIRELESS sensor networks ,CAPACITIVE sensors ,WIRELESS sensor nodes ,INTERFACE circuits ,DETECTOR circuits ,DETECTORS ,PROXIMITY detectors - Abstract
This article presents a wireless multi-channel sensor interface circuit for emerging e-skin applications. The proposed interface circuit uses a CDMA-like sensing method to simultaneously record 16-channel resistive sensors and 16-channel capacitive sensors. The code-modulated multi-channel signals are conditioned and wirelessly transmitted through an edge-encoded pulsewidth-modulation ultra-wideband (PWM UWB) transmitter in the time domain. The PWM UWB transmitter eliminates the need for digitization on the sensor node, reducing the number of data to be sent. This improves the sensing and wireless transmission efficiency. With the proposed edge-encoding technique, the PWM UWB transmitter can recover the sensor data with SNR above 70 dB despite 20% data loss under a lossy environment. Fabricated in 130-nm technology, the wireless multi-channel sensor node occupies a die area of 1.35 mm2 and consumes a power of 70 $\mu \text{W}$. It achieves an energy efficiency of 0.87 pJ/Conversion-step per channel. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
19. An Artificial Peripheral Neural System Based on Highly Stretchable and Integrated Multifunctional Sensors.
- Author
-
Liao, Xinqin, Song, Weitao, Zhang, Xiangyu, Jin, Haoran, Liu, Siyu, Wang, Yongtian, Thean, Aaron Voon‐Yew, and Zheng, Yuanjin
- Subjects
DETECTORS ,MYOELECTRIC prosthesis ,COMPOSITE materials ,NEUROPROSTHESES ,PROPRIOCEPTION ,BIONICS - Abstract
Prostheses and robots have been affecting all aspects of life. Making them conscious and intelligent like humans is appealing and exciting, while there is a huge contrast between progress and strong demand. An alternative strategy is to develop an artificial peripheral neural system with high‐performance bionic receptors. Here, a novel functional composite material that can serve as a key ingredient to simultaneously construct different artificial exteroceptive sensors (AE sensors) and artificial proprioceptive sensors (AP sensors) is demonstrated. Both AP sensors and AE sensors demonstrate outstandingly high stretchability; up to 200% stretching strain and possess the superior performance of fast response and high stability. An artificial peripheral neural system integrated with the highly stretchable AP sensor and AE sensor is constructed, which makes a significant breakthrough in the perception foundation of efficient proprioception and exteroception for intelligent prostheses and soft robots. Accurate feedback on the activities of body parts, music control, game manipulation, and wireless typing manifest the enormous superiority of the spatiotemporal resolution function of the artificial peripheral neural system, all of which powerfully contribute to promoting intelligent prostheses and soft robots into sophistication, and are expected to make lives more fascinating. [ABSTRACT FROM AUTHOR]
- Published
- 2021
- Full Text
- View/download PDF
20. Amorphous InGaZnO Thin-Film Transistors With Sub-10-nm Channel Thickness and Ultrascaled Channel Length.
- Author
-
Samanta, Subhranu, Han, Kaizhen, Sun, Chen, Wang, Chengkuan, Kumar, Annie, Thean, Aaron Voon-Yew, and Gong, Xiao
- Subjects
TRANSISTORS ,THIN film transistors ,CARRIER density - Abstract
We investigate the effect of channel layer thickness on effective mobility (μ
eff ) in the sub-10-nm regime of amorphous indium–gallium–zinc–oxide thin-film transistors (α-IGZO TFTs). TFT devices with extremely scaled channel thickness tα -IGZO of 3.6 nm were realized, exhibiting low subthreshold swing (SS) of 74.4 mV/decade and the highest effective mobility μeff of 34 cm2 /V ⋅ s at a carrier density NCarrier of ~ 5 × 1012 cm−2 for any kind of α-IGZO TFTs having sub-10-nm tα -IGZO. No significant degradation of μeff is observed as α-IGZO thickness reduced from 6 to 3.6 nm. By scaling down the channel length LCH to 38 nm, high extrinsic transconductance (Gm, max ) of 125 μs/μm (at VDS of 1 V) and ON-state current ION of 350 μA/μm at VGS – VT of 3 V with VDS of 2.5 V are achieved. [ABSTRACT FROM AUTHOR]- Published
- 2021
- Full Text
- View/download PDF
21. A Wireless Multi-Channel Capacitive Sensor System for Efficient Glove-Based Gesture Recognition With AI at the Edge.
- Author
-
Pan, Jieming, Luo, Yuxuan, Li, Yida, Tham, Chen-Khong, Heng, Chun-Huat, and Thean, Aaron Voon-Yew
- Abstract
This brief presents a wireless smart glove based on multi-channel capacitive pressure sensors that is able to recognize 10 American Sign Language gestures at the edge. In this system, 16 capacitive sensors are fabricated on a glove to capture the hand gestures. The sensor data is captured by a 16-channel CDMA-like capacitance-to-digital converter for training/inference at the edge device. Unlike the conventional approach where the capacitive information is recovered before further signal processing, our proposed system approach takes advantage of the capability of the machine learning (ML) algorithms and directly processes the code-modulated signals without demodulation. As a result, it reduces the input data throughput fed into the ML algorithms by $20\times $. The on-site ML implementation significantly reduces decision-making latency and lowers the required data throughput for wireless transmission by at least $4\times $. The highest testing classification accuracy of our system achieved is 99.7%, with a <0.1% difference from the conventional demodulated sensing scheme. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
22. Low Subthreshold Swing and High Mobility Amorphous Indium–Gallium–Zinc-Oxide Thin-Film Transistor With Thin HfO2 Gate Dielectric and Excellent Uniformity.
- Author
-
Samanta, Subhranu, Chand, Umesh, Xu, Shengqiang, Han, Kaizhen, Wu, Ying, Wang, Chengkuan, Kumar, Annie, Velluri, Hasita, Li, Yida, Fong, Xuanyao, Thean, Aaron Voon-Yew, and Gong, Xiao
- Subjects
THIN film transistors ,DIELECTRICS ,CARRIER density ,TRANSISTORS ,UNIFORMITY ,DISTRIBUTION (Probability theory) - Abstract
We report high performance amorphous Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transis- tors (TFTs) with 10 nm atomic-layer-deposited HfO
2 as the gate dielectric, achieving subthreshold swing (SS) of 70.2 mV/decade, high effective mobility (μeff ) of 55.3cm2 /V⋅s at an inversion carrier density (Ninv ) of 5 × 1012 cm−2 , and large ION /IOFF of > 109. Furthermore, very good device-to-device uniformity has been confirmed by the statistical distribution of SS and maximum transconductance (Gm, max) measured from 20 pristine TFT devices. This a-IGZO TFT has immense potential for the ultrafast and low power electronic devices for next-generation cost-effective emissive display, image sensing, and hardware for artificial intelligence (AI). [ABSTRACT FROM AUTHOR]- Published
- 2020
- Full Text
- View/download PDF
23. A $7\times7\times2$ mm3 8.6- $\mu$ W 500-kb/s Transmitter With Robust Injection-Locking-Based Frequency-to-Amplitude Conversion Receiver Targeting for Implantable Applications.
- Author
-
Xiong, Bo, Li, Yida, Thean, Aaron Voon-Yew, and Heng, Chun-Huat
- Subjects
TRANSMITTERS (Communication) ,FREQUENCY shift keying ,ENERGY consumption ,ELECTRONIC probes - Abstract
A transmitter (TX)/receiver (RX) pair using galvanic coupling to achieve in-body to on-body body channel communication (I2O-BCC) for ultra-low power (ULP) miniaturized implanted applications is presented. The I2O-BCC enables low loss communication (<40 dB) within 10–40 MHz band and up to 10-cm in vivo communication distance using the body as a transmission medium. Injection locking (IL)-based frequency-to-amplitude (F2A) conversion demodulator is proposed in RX. It can handle more than 20% frequency variations exhibited by the TX frequency-shift keying (FSK) signals due to the lack of accurate off-chip reference. The packaged implanted TX measures $7\,{\times }\,7\,{\times }\,2$ mm3. It contains a micro-battery, two micro-probes, and the designed SoC in 130-nm CMOS process. The in-body TX radio block consumes only 8.6 $\mu \text{W}$ at 500 kb/s with an on-chip power management unit that exhibits a 45% power efficiency, while the on-body RX consumes 591.5 $\mu \text{W}$. The TX radio block power is 8 $\times $ lower than previous works and achieves energy efficiency at 17.2 pJ/b with minimal bill of material (BOM). [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
24. An 8.2- $\mu$ W 0.14-mm2 16-Channel CDMA-Like Capacitance-to-Digital Converter.
- Author
-
Luo, Yuxuan, Li, Yida, Thean, Aaron Voon-Yew, and Heng, Chun-Huat
- Subjects
CAPACITIVE sensors ,ORTHOGONAL codes ,HADAMARD codes ,MODULATION coding ,INTERFACE circuits ,ELECTRIC network topology - Abstract
This article presents a multi-channel capacitance-to-digital converter (CDC) circuits using the continuous-time Walsh coding multiplexing. The proposed circuits modulate the input capacitive sensors with orthogonal codes, enable simultaneous read-in of 16 capacitive sensors, and provide the combined 16 digitized outputs in a single conversion. By correlating 16 successive digitized outputs with the corresponding orthogonal codes in post-processing, the 16 capacitive channels can then be demodulated separately. The proposed continuous-time Walsh coding modulation preserves temporal information with minimum circuitry duplication and bandwidth requirement. This chip is fabricated in 130-nm CMOS technology and occupies an area of 0.14 mm2. With a reference clock of 4 MHz, the proposed multi-channel CDC achieves 11.4 ENOB with an average conversion time of 482 $\mu \text{s}$. It consumes 0.51 $\mu \text{W}$ /channel and achieves an FoM of 87 fJ/conversion step. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
25. All WSe2 1T1R resistive RAM cell for future monolithic 3D embedded memory integration.
- Author
-
Sivan, Maheswari, Li, Yida, Veluri, Hasita, Zhao, Yunshan, Tang, Baoshan, Wang, Xinghua, Zamburg, Evgeny, Leong, Jin Feng, Niu, Jessie Xuhua, Chand, Umesh, and Thean, Aaron Voon-Yew
- Subjects
RANDOM access memory ,CARBON nanotubes ,FIELD-effect transistors ,THIN film transistors ,TWO-dimensional models - Abstract
3D monolithic integration of logic and memory has been the most sought after solution to surpass the Von Neumann bottleneck, for which a low-temperature processed material system becomes inevitable. Two-dimensional materials, with their excellent electrical properties and low thermal budget are potential candidates. Here, we demonstrate a low-temperature hybrid co-integration of one-transistor-one-resistor memory cell, comprising a surface functionalized 2D WSe
2 p-FET, with a solution-processed WSe2 Resistive Random Access Memory. The employed plasma oxidation technique results in a low Schottky barrier height of 25 meV with a mobility of 230 cm2 V−1 s−1 , leading to a 100x performance enhanced WSe2 p-FET, while the defective WSe2 Resistive Random Access Memory exhibits a switching energy of 2.6 pJ per bit. Furthermore, guided by our device-circuit modelling, we propose vertically stacked channel FETs for high-density sub-0.01 μm2 memory cells, offering a new beyond-Si solution to enable 3-D embedded memories for future computing systems. Designing efficient, scalable and low-thermal-budget 2D Materials for 3D integration remains a challenge. Here, the authors report the development of a hybrid-(solution-processed-exfoliated) integration of 2D Material based 1T1R which uses a multilayer WSe2 p-FET and a multilayer printed WSe2 RRAM. [ABSTRACT FROM AUTHOR]- Published
- 2019
- Full Text
- View/download PDF
26. A Compact Model for 2-D Poly-MoS2 FETs With Resistive Switching in Postsynaptic Simulation.
- Author
-
Wang, Lingfei, Wang, Lin, Ang, Kah-Wee, Thean, Aaron Voon-Yew, and Liang, Gengchiau
- Subjects
GRAIN size ,RESISTIVE force ,SPACE charge ,CARRIER density ,FIELD-effect transistors ,ACTIVATION energy ,MATERIALS ,MOLYBDENUM disulfide - Abstract
The analog resistive switching (RS) characteristics in 2-D polycrystalline (poly-) molybdenum disulfide (MoS2) field-effect transistors (FETs) enable new electronic devices capable of emulating biological synaptic behaviors. In 2-D poly-materials, grain boundary (GB)-induced trap states are of major significance to RS behaviors. However, there is still a lack of appropriate compact models that capture accurate physical mechanisms. Therefore, we developed a surface potential-based compact model, based on the theories of the GB energy barrier and space charge limited current (SCLC). By calibrating to experimental data of MoS2, the physical parameters are extracted, and the model explains the scaling behaviors of channel lengths and grain sizes. Due to the electric-field-induced defect redistribution, the energy barrier modulation at a single-GB (e.g., intersecting GB) quantitatively matches the reported experiments. Moreover, the possible SCLC-based RS behavior is also investigated. Furthermore, we have optimized the set/reset process and simulated the postsynaptic current (PSC) with a tunable potentiation (or depression) process, and then the gate voltage dependence and statistical effects on RS and PSC have been investigated. Thus, this model provides important devices physics insights of 2-D poly-materials and it guides device design, fabrication, and material engineering, to meet the requirements of the future neuromorphic computing application. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
27. Understanding Energy Efficiency Benefits of Carbon Nanotube Field-Effect Transistors for Digital VLSI.
- Author
-
Hills, Gage, Bardon, Marie Garcia, Doornbos, Gerben, Yakimets, Dmitry, Schuddinck, Pieter, Baert, Rogier, Jang, Doyoung, Mattii, Luca, Sherazi, Syed Muhammed Yasser, Rodopoulos, Dimitrios, Ritzenthaler, Romain, Lee, Chi-Shuen, Thean, Aaron Voon-Yew, Radu, Iuliana, Spessot, Alessio, Debacker, Peter, Catthoor, Francky, Raghavan, Praveen, Shulaker, Max M., and Wong, H.-S. Philip
- Abstract
Carbon Nanotube Field-Effect Transistors (CNFETs) are highly promising to improve the energy efficiency of digital logic circuits. Here, we quantify the Very-Large-Scale Integrated (VLSI) circuit-level energy efficiency of CNFETs versus advanced technology options (ATOs) currently under consideration [e.g., silicon-germanium (SiGe) channels and progressing from today's FinFETs to gate-all-around nanowires/nanosheets]. We use industry-practice physical designs of digital VLSI processor cores in future technology nodes with millions of transistors (including effects from parasitics and interconnect wires) and technology parameters extracted from experimental data. Our analysis shows that CNFETs are projected to offer 9× energy-delay product (EDP) benefit (∼3× faster while simultaneously consuming ∼3× less energy) compared to Si/SiGe FinFET. The ATOs provide <50% EDP benefits. All analyses are performed at the same off-state leakage current density (≤100 nA per micron of FET width) and power density (≤100 W/cm2of chip area). This analysis provides insights into the sources of CNFET EDP benefits and addresses key questions for deeply-scaled technologies. For instance, while contact resistance is a concern for sub-10 nm nodes, CNFETs still provide up to 6.0× EDP benefit (versus Si/SiGe FinFETs) using CNFET contact resistance values already experimentally achieved for 9 nm contact length. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
28. Percolation theory based statistical resistance model for resistive random access memory.
- Author
-
Wang, Lingfei, Thean, Aaron Voon-Yew, and Liang, Gengchiau
- Subjects
- *
NONVOLATILE random-access memory , *THERMAL stability , *NEUROMORPHICS , *PERCOLATION theory , *TUNNEL junction devices , *ACTIVATION energy - Abstract
A comprehensive understanding of the disorder-induced transport characteristics in resistive random-access memory (RRAM) is critical for its thermal stability analysis and analog switching for the coming neuromorphic computing application. Superior to the previous transport mechanisms which are only valid within their respective ranges of temperatures, we propose a unified physics-based model that can accurately predict the transport dependence on all temperature ranges up to 300 K. By utilizing percolation theory and the Fermi Golden Rule, the probability distributions for both the tunnel junction energy barrier and gap distance based statistical resistance model are described. It is found that different programming cycles and resistance states contribute to transition behavior between various low-temperature transport mechanisms. Moreover, the model can also investigate the dependence of electrical characteristics on defect generation like radiation damage. Therefore, it quantitatively relates the thermal stability and percolation effects to the structural disorders in RRAM. The good agreement between the simulation and experimental results indicates that our physics-based model can provide an accurate prediction of temperature and disorder dependent effects in RRAMs. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
29. A Physics-Based Compact Model for Transition-Metal Dichalcogenides Transistors With the Band-Tail Effect.
- Author
-
Wang, Lingfei, Li, Yang, Gong, Xiao, Thean, Aaron Voon-Yew, and Liang, Gengchiau
- Subjects
TRANSITION metal compounds ,CHALCOGENIDES ,THIN film transistors - Abstract
Due to structural disorder effects, variable range hopping (VRH) transport via band-tail states has been widely observed in the transition-metal dichalcogenide field-effect transistor (TMD FET). However, this significant mechanism has not been incorporated into existing compact models. In this letter, a continuous physics-based compact model considering VRH in TMD FET is developed. Key parameters are extracted by calibration to experimental molybdenum disulfide FET. The voltage dependent carrier density and temperature dependent current characteristics are physically predicted by utilizing the general percolation theory and generalized Einstein relation. Our model is validated by the good agreement between the simulation and experimental results. Furthermore, the relationship between the disorder effects and circuit-level performances are presented. This letter is significant for material engineering and device optimization of TMD FET. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
30. FETs on 2-D Materials: Deconvolution of the Channel and Contact Characteristics by Four-Terminal Resistance Measurements on WSe2 Transistors.
- Author
-
Sutar, Surajit, Asselberghs, Inge, Lin, Dennis H. C., Thean, Aaron Voon-Yew, and Radu, Iuliana
- Subjects
FIELD-effect transistors ,DECONVOLUTION (Mathematics) ,SEMICONDUCTORS ,SCHOTTKY barrier diodes ,LOGIC circuits - Abstract
FETs made on 2-D semiconductors, typically without degenerate doping at the contacts, have a significant Schottky junction (SJ) resistance, which complicates transistor analysis. This paper evaluates the effect of the contact resistance on the 2-D-material FET characteristics through four-terminal (4-T) resistance measurements on WSe2 FETs, which allow studying the channel and contacts characteristics separately. Apart from showing the nonnegligibility of contact resistance, this paper enables a finer understanding of commonly observed phenomena, such as transistor performance improvement with dielectric-encapsulation is observed to have a stronger effect on the contact than the channel; the resistance of the forward-biased SJ is observed to be not negligible, but comparable to that of the reverse-biased junction; at biases commonly referred to as “low-bias,” the WSe2 FET resistance could be dominated by the contacts; and pinchoff can be observed at relatively lower current levels, being related to the channel-contact resistance ratio rather than their magnitudes. In the devices where true channel pinchoff can be verified, a correlation emerges between current saturating behavior and asymmetry in the output characteristics with respect to the drain–source bias polarity, a feature that may serve as a guide toward interpreting standard FET output characteristics in 2-D materials. [ABSTRACT FROM PUBLISHER]
- Published
- 2017
- Full Text
- View/download PDF
31. Statistical Timing Analysis Considering Device and Interconnect Variability for BEOL Requirements in the 5-nm Node and Beyond.
- Author
-
Huynh-Bao, Trong, Ryckaert, Julien, Tokei, Zsolt, Mercha, Abdelkarim, Verkest, Diederik, Thean, Aaron Voon-Yew, and Wambacq, Piet
- Subjects
ELECTRIC capacity ,QUANTITATIVE research ,ELECTRIC resistance - Abstract
In an increasing interconnect resistance era and aggressive metal pitch scaling, the elevating RC delay could significantly shadow the improvements from advanced device architectures and become a severe design issue. This paper will holistically analyze the interplay between transistors and interconnect delay and the variability induced by back-end-of-line (BEOL) process for the 5-nm node. A global sensitivity analysis using Monte Carlo simulation is employed as a powerful tool for understanding the significance of different variation sources and propagating these process uncertainties to circuit performance and parametric yield. For the BEOL integration process, our results show that dielectric \kappa -value is the most sensitive parameter. Regarding the patterning options, the BEOL process using self-aligned quadruple pattering with positive tone process requires more than a $4\times $ process margin and suffers from 50% parametric yield loss. The required guardband for litho-etch litho-etch becomes as critical as for the self-aligned double patterning process when the overlay control is $6\times $ higher than the critical dimension control. For trench patterning using spacer-defined techniques, a negative tone process is required to achieve a large process window. From a design perspective, the wire length in SoC can be optimized using a disruptive architecture as a vertical FET, which could potentially reduce the average wire length by 11%. [ABSTRACT FROM PUBLISHER]
- Published
- 2017
- Full Text
- View/download PDF
32. The impact of interface and border traps on current-voltage, capacitance-voltage, and split-CV mobility measurements in InGaAs MOSFETs.
- Author
-
Pavan, Paolo, Zagni, Nicolò, Puglisi, Francesco Maria, Alian, Alireza, Thean, Aaron Voon‐Yew, Collaert, Nadine, and Verzellesi, Giovanni
- Subjects
METAL oxide semiconductor field-effect transistors ,ELECTRIC capacity ,HYSTERESIS ,MAGNETIC hysteresis ,ELECTROMAGNETIC induction ,SENSITIVITY analysis - Abstract
In this article, we present coupled experimental/simulated results about the influence of interface and border traps on the electrical characteristics and split-CV mobility extraction in InGaAs MOSFETs. These results show that border traps limit the maximum drain current under on-state conditions, induce a hysteresis in the quasi-static transfer characteristics, as well as affect CV measurements, inducing an increase in the accumulation capacitance even at high frequencies where trap effects are commonly assumed to be negligible. Hysteresis in the transfer characteristics can be used as a sensitive monitor of border traps, as suggested by a sensitivity analysis where either the energetic or the spatial distribution of border traps are varied. Finally, we show that mobility extraction by means of the split-CV method is affected by appreciable errors related to the spurious contributions of interface and border traps to the total gate charge, ultimately resulting in significant channel mobility underestimation. In very narrow channel devices, channel electron spilling over the InP buffer layer can also contribute to mobility measurement inaccuracy. [ABSTRACT FROM AUTHOR]
- Published
- 2017
- Full Text
- View/download PDF
33. Effects of Negative-Bias-Temperature-Instability on Low-Frequency Noise in SiGe p MOSFETs.
- Author
-
Duan, Guo Xing, Hachtel, Jordan A., Zhang, En Xia, Zhang, Cher Xuan, Fleetwood, Daniel M., Schrimpf, Ronald D., Reed, Robert A., Mitard, Jerome, Linten, Dimitri, Witters, Liesbeth, Collaert, Nadine, Mocuta, Anda, Thean, Aaron Voon-Yew, Chisholm, Matthew F., and Pantelides, Sokrates T.
- Abstract
We have measured the low-frequency 1/ f noise of Si0.55Ge0.45 p MOSFETs with a Si capping layer and SiO2/HfO2/TiN gate stack as a function of frequency, gate voltage, and temperature (100–440 K). The magnitude of the excess drain voltage noise power spectral density ( \textitS {vd} ) is unaffected by negative-bias-temperature stress (NBTS) for temperatures below ~250 K, but increases significantly at higher temperatures. The noise is described well by the Dutta-Horn model before and after NBTS. The noise at higher measuring temperatures is attributed primarily to oxygen-vacancy and hydrogen-related defects in the SiO2 and HfO2 layers. At lower measuring temperatures, the noise also appears to be affected strongly by hydrogen-dopant interactions in the SiGe layer of the device. [ABSTRACT FROM PUBLISHER]
- Published
- 2016
- Full Text
- View/download PDF
34. Titanium Silicide on Si:P With Precontact Amorphization Implantation Treatment: Contact Resistivity Approaching 1 \times 10^-9 Ohm-cm2.
- Author
-
Yu, Hao, De Meyer, Kristin, Schaekers, Marc, Peter, Anthony, Pourtois, Geoffrey, Rosseel, Erik, Everaert, Jean-Luc, Chew, Soon Aik, Demuynck, Steven, Barla, Kathy, Mocuta, Anda, Horiguchi, Naoto, Thean, Aaron Voon-Yew, Collaert, Nadine, Lee, Joon-Gon, Song, Woo-Bin, Shin, Keo Myoung, and Kim, Daeyong
- Subjects
COMPLEMENTARY metal oxide semiconductors ,TITANIUM silicides ,AMORPHIZATION ,SILICON analysis ,ELECTRICAL resistivity ,ELECTRIC resistance ,NANOSTRUCTURES - Abstract
In recent CMOS technology, extreme shrinking of contact area at source/drain regions raises serious concerns of high metal/semiconductor contact resistance. Confronting this problem, we introduce a precontact amorphization implantation plus Ti silicidation technique (PCAI + TiSix) and achieve ultralow contact resistivity ( \rho c ) of (1.3 – 1.5) \times 10^-9 ~\Omega \cdot \text cm^2 on Si:P. This PCAI + TiSix technique utilizes light amorphization (low-energy implantation), thin Ti and TiSix film, and moderate thermal budget (500 °C –550 °C): these features are compatible with modern CMOS manufacturing. Moreover, the PCAI + TiSix-induced \rho c reduction is proved universal on both n- and p-Si. With additional characterizations, we find that the silicidation-induced \rho c variation is not merely a Schottky barrier height tuning effect. The electrical and physical characterizations suggest that the low \rho c is strongly correlated with the formation of interfacial TiSix crystallites between amorphous TiSi alloy and Si. [ABSTRACT FROM PUBLISHER]
- Published
- 2016
- Full Text
- View/download PDF
35. Calibration of the Effective Tunneling Bandgap in GaAsSb/InGaAs for Improved TFET Performance Prediction.
- Author
-
Smets, Quentin, Verhulst, Anne S., El Kazzi, Salim, Gundlach, David, Richter, Curt A., Mocuta, Anda, Collaert, Nadine, Thean, Aaron Voon-Yew, and Heyns, Marc M.
- Subjects
FIELD-effect transistors ,QUANTUM tunneling ,GALLIUM compounds ,CALIBRATION ,BAND gaps ,CURRENT-voltage characteristics ,HETEROJUNCTIONS - Abstract
The effective bandgap for heterojunction band-to-band tunneling ( $ {{E}}_{{\text {g,eff}}}$ ) is a crucial design parameter for a heterojunction tunneling FET (TFET). However, there is significant uncertainty on $ {{E}}_{{\text {g,eff}}}$ , especially for In0.53Ga0.47As/ GaAs0.5Sb0.5. This makes the prediction of TFET performance difficult. We calibrate $ {{E}}_{{\text {g,eff}}}$ by fabricating heterojunction p+/i/n+ diodes, comparing the simulated and the measured current–voltage and capacitance–voltage curves, while taking $ {{E}}_{{\text {g,eff}}}$ as a fitting parameter. Our calibration significantly reduces the uncertainty on $ {{E}}_{{\text {g,eff}}}$ compared with the range found in the literature. The comparison with the previous work on highly doped heterojunction diodes suggests that dopant-dependent bandgap narrowing reduces $ {{E}}_{{\text {g,eff}}}$ and therefore significantly impacts the performance of highly doped TFET. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
36. Low-Frequency Noise Assessment of Different Ge pFinFET STI Processes.
- Author
-
Claeys, Cor, de Oliveira, Alberto V., Agopian, Paula G. D., Martino, Joao Antonio, Simoen, Eddy, Mitard, Jerome, Langer, Robert, Witters, Liesbeth, Collaert, Nadine, and Thean, Aaron Voon-Yew
- Subjects
FIELD-effect transistors ,HOLE mobility ,THRESHOLD voltage ,RUTHERFORD scattering ,HEAT - Abstract
An experimental low-frequency noise (LFN) assessment of long channel Ge pFinFET devices fabricated in different shallow trench isolation (STI) processes is presented, taking into consideration devices with fin widths from 100 nm (planar-like) down to 20 nm. In addition, the correlation among LFN parameters, hole mobility and threshold voltage, is also evaluated. The carrier number fluctuation ( $\Delta N$ ) model is confirmed as dominant mechanism for all studied Ge pFinFETs and there is no correlation with the used STI process. From the LFN, it is evidenced that the Coulomb scattering mobility mechanism plays an important role for STI-first process, resulting in a mobility degradation. [ABSTRACT FROM PUBLISHER]
- Published
- 2016
- Full Text
- View/download PDF
37. Thermal Stability Concern of Metal-Insulator-Semiconductor Contact: A Case Study of Ti/TiO2/n-Si Contact.
- Author
-
Yu, Hao, Schaekers, Marc, Schram, Tom, Demuynck, Steven, Horiguchi, Naoto, Barla, Kathy, Collaert, Nadine, Thean, Aaron Voon-Yew, and De Meyer, Kristin
- Subjects
THERMAL stability ,METAL-insulator-semiconductor devices ,SCHOTTKY barrier ,SEMICONDUCTORS ,INTEGRATED circuits - Abstract
This work discusses the thermal stability of metal-insulator-semiconductor (MIS) contacts. A case study is performed on a typical low-Schottky barrier height ( q\varphi b) MIS contact: Ti/TiO2/n-Si. By incorporating different levels of donor concentration in n-Si, we perform a systematic Ti/TiO2/n-Si thermal stability study under different electron conduction mechanisms. We find that both q\varphi b and contact resistivity ( \rho c) of the Ti/TiO2/n-Si MIS contacts vary dramatically after mere 300 °C–500 °C 1-min rapid thermal treatments. The variations in q\varphi b and \rho c are related to the thermally driven TiO2 decomposition. This thermal stability study of Ti/TiO2/n-Si reveals a general concern for the MIS contact application: since the MIS contacts on n-type semiconductor generally utilize a reactive low-work function metal and an ultrathin insulator, it is difficult to maintain their interface quality considering the thermal budget in standard manufacturing of integrated circuits. Possible solutions to this MIS thermal stability issue are discussed. [ABSTRACT FROM PUBLISHER]
- Published
- 2016
- Full Text
- View/download PDF
38. Low-Frequency Noise Analysis and Modeling in Vertical Tunnel FETs With Ge Source.
- Author
-
Neves, Felipe S., Agopian, Paula G. D., Martino, Joao Antonio, Cretu, Bogdan, Rooyackers, Rita, Vandooren, Anne, Simoen, Eddy, Thean, Aaron Voon-Yew, and Claeys, Cor
- Subjects
MAGNETIC tunnelling ,FIELD-effect transistors ,HAFNIUM compounds ,LOGIC circuits ,SEMICONDUCTOR devices - Abstract
This paper presents the low-frequency noise (LFN) behavior of vertical tunnel FETs (TFETs). The experimental input characteristics with different source compositions (Si and Ge) and different HfO2 thicknesses in the gate-stack (2 and 3 nm) are presented. A brief analog parameters analysis, including the transconductance, output conductance, and intrinsic voltage gain behavior under different bias conditions, shows that TFETs are promising for analog applications. For the LFN study, the standard number fluctuations model for MOSFETs was used in order to verify and compare the TFETs noise behavior, exploring the influence of different conduction mechanisms in each bias region. In the proposed model, the effective channel length ( L\mathrm{eff} ) is replaced by the tunneling length, resulting in good agreement between the experimental data and the model. The temperature influence on the TFET noise behavior is also investigated. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
39. A Comprehensive Benchmark and Optimization of 5-nm Lateral and Vertical GAA 6T-SRAMs.
- Author
-
Huynh-Bao, Trong, Sakhare, Sushil, Yakimets, Dmitry, Ryckaert, Julien, Thean, Aaron Voon-Yew, Mercha, Abdelkarim, Verkest, Diederik, and Wambacq, Piet
- Subjects
GATEWAYS (Computer networks) ,NANOWIRE devices ,TRANSISTORS ,WEB portals ,VOLTAGE regulators - Abstract
In this paper, we present an intensive study of 6T-SRAM designs for vertical gate-all-around (GAA) transistors (VFETs) and lateral GAA transistors (LFETs) using 5-nm node design rules. Optimizations of the nanowire (NW) diameter and the gate length are also conducted to enhance the SRAM performance. Device VT retargeting has been proposed for improving the minimum operating voltage ( V\min ) of SRAMs. The isoperformance and isoyield have been performed to assess and determine the benefits provided by LFET and VFET architectures, respectively. Our results show that the VFET bitcells are denser than the LFET bitcells by 20%–30%. The SRAM read stability (read static noise margin) is significantly improved using the NW channel. For a $6\sigma $ yield target and an isoarea of SRAM bitcells, V\min of the VFET bitcell is 80 mV lower than LFET designs. Applying the proposed VT retargeting technique can allow the VFET 122 bitcell to operate at 0.57 V without using assist circuits. A standby leakage below 10 pA/cell can be achieved for both architectures. At isoperformance, the standby leakage of VFET bitcells is $2.6\times $ lower than LFET bitcells. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
40. Diffusion and Gate Replacement: A New Gate-First High- $k$ /Metal Gate CMOS Integration Scheme Suppressing Gate Height Asymmetry.
- Author
-
Ritzenthaler, Romain, Schram, Tom, Spessot, Alessio, Caillat, Christian, Cho, Moonju, Simoen, Eddy, Aoulaiche, Marc, Albert, Johan, Chew, Soon-Aik, Noh, Kyoung Bong, Son, Yunik, Mitard, Jerome, Mocuta, Anda, Horiguchi, Naoto, Fazan, Pierre, and Thean, Aaron Voon-Yew
- Subjects
COMPLEMENTARY metal oxide semiconductors ,METAL semiconductor field-effect transistors ,TRANSISTOR circuits ,FIELD-effect transistors ,NANOTECHNOLOGY - Abstract
In this paper, a new scheme called diffusion and gate replacement (D&GR) metal-inserted polysilicon integration is demonstrated. The CMOS flow allows controlling the gate height asymmetry between the nMOS and the pMOS by driving the work function shifter directly into the high- $k$ , and then by removing the dopant source (dummy doped metal gate) and depositing a fresh TiN metal gate. Although the integration flow is compatible with a standard 45-/28-nm technological node, it has been specifically designed to be compatible with dynamic random access memory peripheral applications or other emerging memories (embedded applications). A material down-selection is done (TiN/Mg/TiN gate-stack for nMOS and Al2O3 capping layer for pMOS), and it is demonstrated that a process window exists and guarantees enough work function lowering without compromising the electrical parameters (electrical oxide thickness, mobility, subthreshold slope, and gate leakage). Regarding the CMOS integration, it is shown that an nMOS-first integration is preferable, and that there is no contamination issue of the pMOS work function shifter (in this case, Al2O3) on the nMOS side. Finally, CMOS device performance is on par with the non-D&GR baseline, validating the integration flow. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
41. Technology/System Codesign and Benchmarking for Lateral and Vertical GAA Nanowire FETs at 5-nm Technology Node.
- Author
-
Pan, Chenyun, Raghavan, Praveen, Yakimets, Dmitry, Debacker, Peter, Catthoor, Francky, Collaert, Nadine, Tokei, Zsolt, Verkest, Diederik, Thean, Aaron Voon-Yew, and Naeemi, Azad
- Subjects
NANOWIRES ,FIELD-effect transistors ,MOORE'S law ,TECHNOLOGY ,PERFORMANCE - Abstract
For sub-7-nm technology nodes, the gate-all-around (GAA) nanowire-based device structure is a strong candidate to sustain scaling according to Moore’s Law. For the first time, the performance of two GAA device options—lateral FET (LFET) and vertical FET (VFET)—is benchmarked and analyzed at the system level using an ARM core processor, based on realistic compact device models at the 5-nm technology node. Tradeoffs among energy, frequency, leakage, and area are evaluated by a multi- Vth optimization flow. A variety of relevant device configurations, including various number of fins, nanowires, and nanowire stacks, are explored. The results demonstrate that an LFET GAA core has a larger maximum frequency than its VFET counterpart because the channel stress that can be created in the LFETs results in a larger ON current. For fast timing targets, the LFET cores are therefore superior. However, for slow timing targets (e.g., 5 ns), the VFET cores with three nanowires offer a 7% area reduction and a 20% energy saving compared with the LFET cores with 2fin/2stack at the same leakage power. [ABSTRACT FROM PUBLISHER]
- Published
- 2015
- Full Text
- View/download PDF
42. Bendable and Stretchable Microfluidic Liquid Metal-Based Filter.
- Author
-
Chen, Wei, Li, Yida, Li, Rongqiang, Thean, Aaron Voon-Yew, and Guo, Yong-Xin
- Abstract
A mechanically foldable and stretchable filter with stable filtering performance is presented in this letter. The filter is manufactured with liquid metal injected into microfluidic channels, which are realized in material of polydimethylsiloxane using soft lithography. The elastic dielectric material and liquid metal enable the deformation capability and mechanical stability of the filter along any direction. Frequency response, insertion loss, and return loss of the bended and stretched filters are investigated and compared with their original state through simulations and experiments. The fabricated filter demonstrates an insertion loss of 1.3 dB at the center frequency of 2.1 GHz and a return loss better than 10 dB over 1.6–2.6 GHz, and the overall filter size is 33 mm $\times25.3$ mm. Experiments verify that stretching up to 20% and bending with radii of 8.5 and 11.5 mm are possible with maintained electrical specifications. [ABSTRACT FROM PUBLISHER]
- Published
- 2018
- Full Text
- View/download PDF
43. Low-Frequency Noise Characterization of GeOx Passivated Germanium MOSFETs.
- Author
-
Fang, Wen, Simoen, Eddy, Arimura, Hiroaki, Mitard, Jerome, Sioncke, Sonja, Mertens, Hans, Mocuta, Anda, Collaert, Nadine, Luo, Jun, Zhao, Chao, Thean, Aaron Voon-Yew, and Claeys, Cor
- Subjects
METAL oxide semiconductor field-effect transistors ,GERMANIUM ,NOISE measurement ,SPECTRAL energy distribution ,ELECTRON mobility - Abstract
The gate-stack quality of planar MOSFETs fabricated in Ge-on-Si substrates and passivated by a GeOx interfacial layer is evaluated by low-frequency noise analysis. It is shown that for both n- and p-channel transistors predominantly 1/ f^\gamma noise ( $\gamma \sim 1$ ) has been observed, which originates from number and correlated mobility fluctuations. The oxide trap density and mobility scattering coefficient derived from the input-referred voltage noise power spectral density are demonstrated to be significantly higher for nMOSFETs than for pMOSFETs with the same gate-stack, which explains the low electron mobility. [ABSTRACT FROM PUBLISHER]
- Published
- 2015
- Full Text
- View/download PDF
44. Vertical GAAFETs for the Ultimate CMOS Scaling.
- Author
-
Yakimets, Dmitry, Eneman, Geert, Schuddinck, Pieter, Bao, Trong Huynh, Bardon, Marie Garcia, Raghavan, Praveen, Veloso, Anabela, Collaert, Nadine, Mercha, Abdelkarim, Verkest, Diederik, Thean, Aaron Voon-Yew, and De Meyer, Kristin
- Subjects
FIELD-effect transistors ,INTEGRATED circuit design ,SWITCHING circuits -- Design & construction ,ELECTRIC oscillators ,ELECTRODES - Abstract
In this paper, we compare the performances of FinFETs, lateral gate-all-around (GAA) FETs, and vertical GAAFETs (VFETs) at 7-nm node dimensions and beyond. Comparison is done at ring oscillator level accounting not only for front-end of line devices but also for interconnects. It is demonstrated that FinFETs fail to maintain the performance at scaled dimensions, while VFETs demonstrate good scalability and eventually outperform lateral devices both in speed and power consumption. Lateral GAAFETs show better scalability with respect to FinFETs but still consume 35% more energy per switch than VFETs if made under 5-nm node design rules. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
45. Influence of the Source Composition on the Analog Performance Parameters of Vertical Nanowire-TFETs.
- Author
-
Agopian, Paula G. D., Martino, Marcio D. V., Santos, Sara D. dos, Neves, Felipe S., Martino, Joao Antonio, Rooyackers, Rita, Vandooren, Anne, Simoen, Eddy, Thean, Aaron Voon-Yew, and Claeys, Cor
- Subjects
NANOWIRES ,FIELD-effect transistors ,GERMANIUM ,SILICON ,ELECTRIC potential - Abstract
The goal of this paper is to study the analog performance parameters of tunnel field-effect transistors (TFETs) with different source compositions and process conditions. The experimental matrix included devices with either a 100% silicon or Si1–xGex source, so that the germanium amount at the source/channel interface could be correlated with the prevailing transport mechanism and its impact on transconductance (gm), output conductance ( g\mathrm {DS} ), and early voltage ( V\mathrm {EA} ) could be analyzed. The used process conditions were highlighted by comparing a reference split with no Si passivation to the cases with 12 and 18 Si monolayers to determine their influence on the interface trap density and eventual reduction of the traps in the gate oxide. All these process parameters enable to make conclusions on the intrinsic voltage gain ( AV ) and the low-frequency noise. Based on these results, the suitability of each type of TFET has been discussed, revealing that 100% Si may still be considered for analog applications depending on the bias conditions. [ABSTRACT FROM PUBLISHER]
- Published
- 2015
- Full Text
- View/download PDF
46. Fabrication and Analysis of a Si/Si0.55Ge0.45 Heterojunction Line Tunnel FET.
- Author
-
Walke, Amey M., Vandooren, Anne, Rooyackers, Rita, Leonelli, Daniele, Hikavyy, Andriy, Loo, Roger, Verhulst, Anne S., Kao, Kuo-Hsing, Huyghebaert, Cedric, Groeseneken, Guido, Rao, Valipe Ramgopal, Bhuwalka, Krishna K., Heyns, Marc M., Collaert, Nadine, and Thean, Aaron Voon-Yew
- Subjects
LOGIC circuits ,HETEROJUNCTIONS ,SEMICONDUCTOR junctions ,FIELD-effect transistors ,QUANTUM confinement effects - Abstract
This paper presents a new integration scheme to fabricate a Si/Si0.55~Ge0.45 heterojunction line tunnel field effect transistor (TFET). The device shows an increase in tunneling current with gate length. The 1-\mum gate length device shows on current in excess of 20 \muA/\mum at VGS=VDS=1.2~\rm V. Low-temperature measurements, performed to suppress trap-assisted tunneling (TAT), reveal the point subthreshold swing as low as 22 mV/dec at 78 K. Field-induced quantum confinement effects are found to increase the tunneling onset voltage by \sim0.35~V. Variation of the tunneling onset voltage measured experimentally is correlated to variation in the pocket thickness and its doping concentration. Small geometry devices were found to be more susceptible to microvariations in the pocket thickness and doping concentration. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
47. Part II: Investigation of Subthreshold Swing in Line Tunnel FETs Using Bias Stress Measurements.
- Author
-
Walke, Amey M., Vandooren, Anne, Kaczer, Ben, Verhulst, Anne S., Rooyackers, Rita, Simoen, Eddy, Heyns, Marc M., Rao, V. Ramgopal, Groeseneken, Guido, Collaert, Nadine, and Thean, Aaron Voon-Yew
- Subjects
FIELD-effect transistors ,SIMULATION methods in education ,SILICON isotopes ,BORON isotopes ,SEMICONDUCTORS ,DIELECTRICS ,SPECTRUM analysis - Abstract
The role of trap-assisted tunneling (TAT) in the degradation of the subthreshold swing (SS) in n-type line tunnel field-effect transistors (TFETs) is investigated through the experiments and simulations. A two to fourfold increase in the interface state density is achieved by applying a positive or a negative stress between the gate and the source. The negative stress shows no impact on the SS in spite of nearly fourfold increase in the interface state density. A nearly twofold increase in interface state density and improvement in SS are observed under the application of positive stress. The improvement in SS is attributed to H^+ species released from the Si/SiO2 interface during stress, which moves toward the bulk Si, passivating boron and bulk Si traps, thereby improving the SS. Under negative stress bias, the released H^+ species drifts toward the gate electrode, and hence no change in SS was observed. These experiments suggest that the SS degradation is mainly caused by TAT through bulk Si traps and insensitive to interface traps. A good control of bulk semiconductor trap density will be required to achieve sub-60-mV/decade SS in line TFETs. [ABSTRACT FROM PUBLISHER]
- Published
- 2013
- Full Text
- View/download PDF
48. InGaAs Gate-All-Around Nanowire Devices on 300mm Si Substrates.
- Author
-
Waldron, Niamh, Merckling, Clement, Teugels, Lieve, Ong, Patrick, Ibrahim, Sheik Ansar Usman, Sebaai, Farid, Pourghaderi, Ali, Barla, Kathy, Collaert, Nadine, and Thean, Aaron Voon-Yew
- Subjects
INDIUM gallium arsenide ,LOGIC circuits ,NANOWIRE devices ,SILICON ,PERFORMANCE evaluation ,INDIUM phosphide ,FIELD-effect transistors - Abstract
In this letter, we present the first InGaAs gate-all-around (GAA) nanowire devices fabricated on 300mm Si substrates. For an \(L_{\mathrm {\mathbf {G}}}\) of 60 nm an extrinsic \(g_{\mathrm {\mathbf {m}}}\) of \(1030~\mu \) S \(/\mu \) m at \(V_{\mathrm {\mathbf {ds}}} = 0.5\) V is achieved which is a \(1.75\times \) increase compared with the replacement fin FinFet process. This improvement is attributed to the elimination of Mg counterdoping in the GAA flow. Ultrascaled nanowires with diameters of 6 nm were demonstrated to show immunity to \(D_{\mathrm {{it}}}\) resulting in an SS \(_{\mathrm {{SAT}}}\) of 66 mV/decade and negligible drain-induced barrier lowering for 85-nm \(L_{\mathrm {{G}}}\) devices. [ABSTRACT FROM AUTHOR]
- Published
- 2014
- Full Text
- View/download PDF
49. Neuromorphic Computing: A Fully Printed Flexible MoS2 Memristive Artificial Synapse with Femtojoule Switching Energy (Adv. Electron. Mater. 12/2019).
- Author
-
Feng, Xuewei, Li, Yida, Wang, Lin, Chen, Shuai, Yu, Zhi Gen, Tan, Wee Chong, Macadam, Nasiruddin, Hu, Guohua, Huang, Li, Chen, Li, Gong, Xiao, Chi, Dongzhi, Hasan, Tawfique, Thean, Aaron Voon‐Yew, Zhang, Yong‐Wei, and Ang, Kah‐Wee
- Subjects
ELECTRONS ,NEUROPLASTICITY - Abstract
Neuromorphic Computing: A Fully Printed Flexible MoS
2 Memristive Artificial Synapse with Femtojoule Switching Energy (Adv. In article number 1900740, Tawfique Hasan, Aaron Thean, Yong-Wei Zhang, Kah-Wee Ang, and co-workers report the demonstration of flexible MoS2 memristive artificial synapses via scalable, low-temperature aerosol-jet printing. Fully printed memristors in a cross-bar structure enable efficient emulation of synaptic plasticity functions with femtojoule energy consumption. [Extracted from the article]- Published
- 2019
- Full Text
- View/download PDF
50. A Soft Polydimethylsiloxane Liquid Metal Interdigitated Capacitor Sensor and Its Integration in a Flexible Hybrid System for On-Body Respiratory Sensing.
- Author
-
Li, Yida, Nayak, Suryakanta, Luo, Yuxuan, Liu, Yijie, Salila Vijayalal Mohan, Hari Krishna, Pan, Jieming, Heng, Chun Huat, Thean, Aaron Voon-Yew, and Liu, Zhuangjian
- Subjects
POLYDIMETHYLSILOXANE ,LIQUID metals ,CAPACITORS ,ELECTRONICS ,ROBOTICS - Abstract
We report on the dual mechanical and proximity sensing effect of soft-matter interdigitated (IDE) capacitor sensors, together with its modelling using finite element (FE) simulation to elucidate the sensing mechanism. The IDE capacitor is based on liquid-phase GaInSn alloy (Galinstan) embedded in a polydimethylsiloxane (PDMS) microfludics channel. The use of liquid-metal as a material for soft sensors allows theoretically infinite deformation without breaking electrical connections. The capacitance sensing is a result of E-field line disturbances from electrode deformation (mechanical effect), as well as floating electrodes in the form of human skin (proximity effect). Using the proximity effect, we show that spatial detection as large as 28 cm can be achieved. As a demonstration of a hybrid electronic system, we show that by integrating the IDE capacitors with a capacitance sensing chip, respiration rate due to a human's chest motion can be captured, showing potential in its implementation for wearable health-monitoring. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.