Search

Showing total 11,579 results

Search Constraints

Start Over You searched for: Topic delays Remove constraint Topic: delays Publication Type Periodicals Remove constraint Publication Type: Periodicals Publisher ieee Remove constraint Publisher: ieee
11,579 results

Search Results

1. Identification of Arcing Faults in Paper and Oil in Transformers—Part I: Using the Duval Pentagons.

2. Work in progress paper: pessimism analysis of network calculus approach on AFDX networks

3. Novel Finite-Time Synchronization Criteria for Inertial Neural Networks With Time Delays via Integral Inequality Method.

4. Editorial - Fiftieth anniversary of TDEI.

5. Novel Structure-Exploiting Techniques Based Delay-Dependent Stability Analysis of Multi-Area LFC With Improved Numerical Tractability.

6. CPiX: Real-Time Analytics Over Out-of-Order Data Streams by Incremental Sliding-Window Aggregation.

7. Self-Organized Scheduling Request for Uplink 5G Networks: A D2D Clustering Approach.

8. Resource Allocation and HARQ Optimization for URLLC Traffic in 5G Wireless Networks.

9. Multicycle Broadside and Skewed-Load Tests for Test Compaction.

10. TAPv2: An Approach Towards Sub-Microsecond Level Timing Accuracy Over Air Interface.

11. Dynamic Beam Pattern and Bandwidth Allocation Based on Multi-Agent Deep Reinforcement Learning for Beam Hopping Satellite Systems.

12. Data Dissemination Using Instantly Decodable Binary Codes in Fog-Radio Access Networks.

13. Low-Complexity Switch Scheduling Algorithms: Delay Optimality in Heavy Traffic.

14. Martingale Theory Application to the Delay Analysis of a Multi-Hop Aloha NOMA Scheme in Edge Computing Systems.

15. Stability Analysis and Impedance Reshaping Method for DC Resonance in VSCs-based Power System.

16. Low Cost Functional Obfuscation of Reusable IP Ores Used in CE Hardware Through Robust Locking.

17. Ordered Sequence Detection and Barrier Signal Design for Digital Pulse Interval Modulation in Optical Wireless Communications.

18. Timing Jitter Distribution and Power Spectral Density of a Second-Order Bang–Bang Digital PLL With Transport Delay Using Fokker–Planck Equations.

19. Slew Merging Region Propagation for Bounded Slew and Skew Clock Tree Synthesis.

20. Delay Reduction in Multi-Hop Device-to-Device Communication Using Network Coding.

21. Automatic Foreground Detection at 784 FPS for Ultra-High-Speed Human–Machine Interactions.

22. Distributed Optimal Control of DC Microgrid Considering Balance of Charge State.

23. Quantitative Performance Comparison of Various Traffic Shapers in Time-Sensitive Networking.

24. Literature Review Toward Decentralized Railway Traffic Management.

25. Non-Stationary Vehicular Channel Characterization in Complicated Scenarios.

26. Control for Itô Stochastic Systems With Input Delay.

27. Sampled-Data Consensus for Multiagent Systems With Time Delays and Packet Losses.

28. Stabilization of Hardware-in-the-Loop Ideal Transformer Model Interfacing Algorithm by Using Spectrum Assignment.

29. Compensating Misalignment Using Dynamic Random-Effect Control System: A Case of High-Mixed Wafer Fabrication.

30. Performance and Stability Benchmarking of Monolithic 3-D Logic Circuits and SRAM Cells With Monolayer and Few-Layer Transition Metal Dichalcogenide MOSFETs.

31. Factors affecting polarization and depolarization current measurements on insulation of transformers.

32. Infrastructure-Assisted on-Driving Experience Sharing for Millimeter-Wave Connected Vehicles.

33. Aging and Delay Analysis Based on Lyapunov Optimization and Martingale Theory.

34. Integrating Social Networks with Mobile Device-to-Device Services.

35. Fast-Tracking Optical Coherent Receiver Tolerating Transmitter Component Distortion.

36. A Deep Learning Approach for Flight Delay Prediction Through Time-Evolving Graphs.

37. Cross Z-Complementary Sets for Training Design in Spatial Modulation.

38. Anticipative and Predictive Control of Automated Vehicles in Communication-Constrained Connected Mixed Traffic.

39. Space-Air-Ground Integrated Network Resource Allocation Based on Service Function Chain.

40. Communication Information Structures and Contents for Enhanced Safety of Highway Vehicle Platoons.

41. Stability-Oriented Minimum Switching/Sampling Frequency for Cyber-Physical Systems: Grid-Connected Inverters Under Weak Grid.

42. Analysis of Performance Benefits of Multitier Gate-Level Monolithic 3-D Integrated Circuits.

43. Anticipatory Association for Indoor Visible Light Communications: Light, Follow Me!

44. iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor.

45. Optimal Cross-Layer-Based Asymmetric Resource Allocation for Multidestination Relay Systems.

46. Robust Containment Control of Uncertain Multi-Agent Systems With Time-Delay and Heterogeneous Lipschitz Nonlinearity.

47. Robust Exponential Synchronization for Memristor Neural Networks With Nonidentical Characteristics by Pinning Control.

48. Investigations on post partial discharge charge decay in void using chopped sequence.

49. Built-in-Self-Calibration for I/Q Imbalance in Wideband Millimeter-Wave Gigabit Transmitters.

50. Approximate Mean Delay Analysis for a Signalized Intersection With Indisciplined Traffic.