Search

Showing total 609 results

Search Constraints

Start Over You searched for: Search Limiters Available in Library Collection Remove constraint Search Limiters: Available in Library Collection Topic low-power Remove constraint Topic: low-power Publication Year Range Last 10 years Remove constraint Publication Year Range: Last 10 years
609 results

Search Results

1. Towards a Wireless and Low-Power Infrastructure for Representing Information Based on E-Paper Displays

2. Towards a Wireless and Low-Power Infrastructure for Representing Information Based on E-Paper Displays.

3. Smart Sticker Ultra-Low-Power Shock Detection in the Supply Chain.

4. An Analysis of Blockchain-Based IoT Sensor Network Distributed Denial of Service Attacks.

5. A 0.5 V, 32 nW Compact Inverter-Based All-Filtering Response Modes Gm-C Filter for Bio-Signal Processing.

6. Low-Power Preprocessing System at MCU-Based Application Nodes for Reducing Data Transmission.

7. Flexible Organic Electrochemical Transistors for Energy-Efficient Neuromorphic Computing.

8. Constrained Flooding Based on Time Series Prediction and Lightweight GBN in BLE Mesh.

9. 用于短距光通信的超低功耗光发射器研究.

10. An evaluation of relational and NoSQL distributed databases on a low-power cluster.

11. Enhancing Power Efficiency in Branch Target Buffer Design with a Two-Level Prediction Mechanism.

12. Computationally efficient low-power sigma delta modulation-based image processing algorithm.

13. Design and Implementation of CNFET SRAM Cells by Using Multi-Threshold Technique.

14. A Low-Power Wireless System for Predicting Early Signs of Sudden Cardiac Arrest Incorporating an Optimized CNN Model Implemented on NVIDIA Jetson.

15. A Schmitt-Trigger-Based Low-Voltage 11 T SRAM Cell for Low-Leakage in 7-nm FinFET Technology.

16. Freezing: Eliminating Unnecessary Drawing Computation for Low Power.

17. A Novel Low-Power High-Precision Implementation for Sign–Magnitude DLMS Adaptive Filters.

18. A Low-Power Vision System With Adaptive Background Subtraction and Image Segmentation for Unusual Event Detection.

19. ASIC Design of Low Power Sobel Edge Detection Filter: An Analog Approach.

20. Circuit Designs of High-Performance and Low-Power RRAM-Based Multiplexers Based on 4T(ransistor)1R(RAM) Programming Structure.

21. Low-power and high-speed SRAM cells for double-node-upset recovery.

22. Design and application of a novel low-voltage low-power OTA using signal attenuation technique for high linearity.

23. A 4–6 GHz Single-Ended to Differential-Ended Low-Noise Amplifier for IEEE 802.11ax Wireless Applications with Inherent Complementary Distortion Cancellation.

24. Cost-Effective Robustness in Clock Networks Using Near-Tree Structures.

25. A robust multi-bit soft-error immune SRAM cell for low-power applications.

26. A 134-nW Single BJT Bandgap Voltage and Current Reference in 0.18-µm CMOS.

27. A Reliable Low Standby Power 10T SRAM Cell With Expanded Static Noise Margins.

28. Low-Cutoff Frequency Reduction in Neural Amplifiers: Analysis and Implementation in CMOS 65 nm.

29. Design of an Inverter-Base, Active-Feedback, Low-Power Transimpedance Amplifier Operating at 10 Gbps.

30. Chip implementation of low-power high-efficient buck converter for battery-powered IOT applications.

31. Design of Generalized Enhanced Static Segment Multiplier with Minimum Mean Square Error for Uniform and Nonuniform Input Distributions.

32. Low-Noise, Low-Power Readout IC for Two-Electrode ECG Recording Using Common-Mode Charge Pump for Robust 20-V PP Common-Mode Interference.

33. Spiking Neural Networks for Structural Health Monitoring.

34. Low-power hardware-efficient memory-based DCT processor.

35. Smart Wireless CO 2 Sensor Node for IoT Based Strategic Monitoring Tool of The Risk of The Indoor SARS-CoV-2 Airborne Transmission.

36. Particle Swarm Optimization Design of Low-Power Multistage Amplifier using gm/ ID Methodology.

37. Hybrid Dynamic CML with Modified Current Source (H-MDyCML): A Low-Power Dynamic MCML Style.

38. A 1.2V-to-0.4V 3.2GHz-to-14.3MHz Power-Efficient 3-Port Register File in 65-nm CMOS.

39. Design of Low-Power WiNoC with Congestion-Aware Wireless Node.

40. Direct-Conversion Sensor for Wireless Sensing Networks.

41. A Real-Time 17-Scale Object Detection Accelerator With Adaptive 2000-Stage Classification in 65 nm CMOS.

42. High-DR CMOS Fluorescence Biosensor With Extended Counting ADC and Noise Cancellation.

43. Adaptive Learning-Based Compressive Sampling for Low-power Wireless Implants.

44. Bee Sound Detector: An Easy-to-Install, Low-Power, Low-Cost Beehive Conditions Monitoring System.

45. Design of a Highly Stable and Robust 10T SRAM Cell for Low-Power Portable Applications.

46. A Low-Power Area-Efficient Precision Scalable Multiplier with an Input Vector Systolic Structure.

47. Ultrahigh-Sensitivity Piezoelectric AlN MEMS Speakers Enabled by Analytical Expressions.

48. Design of a Bulk-Driven High-Gain OTA Using Positive Feedback.

49. A Low-Power Motion Estimation Architecture for HEVC Based on a New Sum of Absolute Difference Computation.

50. An energy-efficient, 6 GS/s dynamic comparator in 90 nm CMOS technology.