17 results on '"Jody A. Fronheiser"'
Search Results
2. First-Principles Investigations of TiGe/Ge Interface and Recipes to Reduce the Contact Resistance
- Author
-
Vimal Kamineni, Francis Benistant, Chengyu Niu, Praneet Adusumilli, Rajan K. Pandey, Anirudhha Konar, Phil Oldiges, Hemant Dixit, Xin Miao, Mark Raymond, Adra Carr, Bhagawan Sahu, Jody A. Fronheiser, and Nicholas A. Lanzillo
- Subjects
010302 applied physics ,Materials science ,Condensed matter physics ,Schottky barrier ,Contact resistance ,Doping ,02 engineering and technology ,Electronic structure ,Substrate (electronics) ,Semiconductor device ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,0103 physical sciences ,Electronic engineering ,Density functional theory ,Electrical and Electronic Engineering ,0210 nano-technology ,Ohmic contact - Abstract
The metal–semiconductor interface is fundamental to any semiconductor device and the success of advanced technology nodes critically depends upon the minimization of the contact resistance at the interface. In this paper, we calculate the electronic structure of a metal–semiconductor interface (TiGe/Ge contact) within the framework of first-principles density functional theory simulations. We report the modulation of the Schottky barrier height with respect to the different phases of TiGe metal and different crystallographic orientations of Ge substrate. We further compute the ${I}$ – ${V}$ characteristics of the TiGe/Ge contact with nonequilibrium Green’s function formalism, using a two-terminal device configuration. The calculated transmission spectrum allows us to extract the contact resistance at the metal–semiconductor interface. Furthermore, the onset of Ohmic contact for p-doped TiGe/Ge interface is identified by studying the ${I}$ – ${V}$ characteristics as a function of increasing active carrier concentration. We find that a doping concentration of 1e21 is sufficient to transform the Schottky contact into Ohmic and thereby achieve a least possible contact resistance at the interfaces. Our paper thus provides useful physical insights into the nanoscale details of the TiGe/Ge interfaces and can guide further process development to minimize the contact resistance.
- Published
- 2017
- Full Text
- View/download PDF
3. CMP Challenges for Advanced Technology Nodes beyond Si
- Author
-
Charan V. V. S. Surisetty, Stan D. Tsai, Steven Bentley, C. Labelle, John H. Zhang, Raghuveer R. Patlolla, Walter Kleemeier, Jody A. Fronheiser, Shariq Siddiqui, and Donald F. Canaperi
- Subjects
Materials science ,020209 energy ,Mechanical Engineering ,Polishing ,New materials ,02 engineering and technology ,Condensed Matter Physics ,Engineering physics ,Reduction (complexity) ,Physical limitations ,Overburden ,CMOS ,Mechanics of Materials ,0202 electrical engineering, electronic engineering, information engineering ,General Materials Science ,Critical dimension ,Scaling - Abstract
As the scaling of the device dimensions in CMOS devices runs into physical limitations, new materials beyond Si with high electron and hole mobilities such as Ge, SiGe, and III-V materials are introduced. Challenges of CMP for these materials are reviewed in this paper. First we discussed the challenge of the new integration schemes to CMP. Loading effects can result in different growth rates for varying feature sizes, which results in a critical dimension dependent overburden. This makes it more difficult to meet the targets of the CMP process with respect to oxide loss and Ge/SiGe/III-V dishing. Secondly we discuss the challenge for the reduction of the defects during CMP for these new materials. Finally the challenge that is relevant especially for the introduction of III-V materials is studied. During the polishing of III-V materials, toxic gases as well as III-V containing liquid waste will be created. The chemical mechanism of the waste control is discussed.
- Published
- 2017
- Full Text
- View/download PDF
4. Sub- $10^{-9}~\Omega $ -cm2 n-Type Contact Resistivity for FinFET Technology
- Author
-
Balasubramanian S. Haran, Juntao Li, Oleg Gluschenkov, Hiroaki Niimi, Chen Zhang, Jie Yang, Jody A. Fronheiser, Mark Raymond, Tenko Yamashita, Huiming Bu, Zuoguang Liu, Bei Liu, Shogo Mochizuki, and James J. Demarest
- Subjects
010302 applied physics ,Materials science ,business.industry ,Liquid phase ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,Omega ,Electronic, Optical and Magnetic Materials ,Electrical resistivity and conductivity ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,Drain current ,business - Abstract
We report record low $8.4\times 10^{-10}~\Omega $ -cm2 n-type S/D contact resistivity with laser-induced solid/liquid phase epitaxy of Si:P inside nano-scale contact trenches. Significant reduction of device resistance and resultant great gain of drain current has been demonstrated in scaled n-FinFETs with a contact length of 20 nm.
- Published
- 2016
- Full Text
- View/download PDF
5. High quality interfacial layer formation for Si0.75Ge0.25 (100) high-k metal gate stack
- Author
-
W. Zhao, Shariq Siddiqui, Balasubramanian S. Pranatharthi Haran, Hemanth Jagannathan, Ryan Sporer, Rohit Galatage, Andreas Knorr, Jody A. Fronheiser, Dina H. Triyoso, G. Raja Muthinti, and Purushothaman Srinivasan
- Subjects
Imagination ,Materials science ,Chemical substance ,media_common.quotation_subject ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Quality (physics) ,Magazine ,Stack (abstract data type) ,law ,Electrical and Electronic Engineering ,Composite material ,Science, technology and society ,Layer (electronics) ,media_common ,High-κ dielectric - Published
- 2020
- Full Text
- View/download PDF
6. Impact of aggressive fin width scaling on FinFET device characteristics
- Author
-
R. Krishnan, Pei Zhao, Yue Hu, Dongil Choi, El Mehdi Bazizi, Xiaoli He, Jody A. Fronheiser, Rick Carter, Zhaoying Hu, K. Tabakman, Ashish Kumar Jha, Srikanth Samavedam, Hong Yu, Suresh Uppal, Owen Hu, Jae Gon Lee, D. K. Sohn, Ryan Sporer, Liqiao Qin, and Xusheng Wu
- Subjects
010302 applied physics ,Hardware_MEMORYSTRUCTURES ,Materials science ,Fin ,business.industry ,Gate length ,Fin width ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Electrostatics ,01 natural sciences ,Stress (mechanics) ,Logic gate ,0103 physical sciences ,Optoelectronics ,Static random-access memory ,0210 nano-technology ,business ,Scaling - Abstract
Fin width scaling is required to improve FinFET electrostatics for future technology nodes. This paper studies the benefits, trade-offs and limitations of aggressive fin width (W) scaling on logic and SRAM device characteristics. TCAD analysis is used to understand the impact of gate length (Lg)scaling along with fin width scaling to optimize AC performance. In this paper, W was scaled from 8nm to 1.6nm. It was found that there is a critical fin width (Wc)at ∼4nm. In the W>Wc region, due to better electrostatics from narrower fin, drain-induced barrier lowering (DIBL), DC performance and SRAM Vt mismatch (Vtmm) were improved. As W was scaled down further to W c ∼ 4nm.
- Published
- 2017
- Full Text
- View/download PDF
7. Advanced applications of scatterometry based optical metrology
- Author
-
Yevgeny Lifshitz, Fiona Recchia, Vinit Todi, Taher Kagalwala, Dhairya Dixit, Jody A. Fronheiser, Alok Vaid, Alexander Elia, and Nick Keller
- Subjects
Materials science ,business.industry ,Semiconductor device fabrication ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Metrology ,Characterization (materials science) ,010309 optics ,Optics ,Ellipsometry ,0103 physical sciences ,Computer data storage ,Mueller calculus ,0210 nano-technology ,business ,Reflectometry ,Critical dimension - Abstract
The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements provide an excellent means of measuring asymmetry present in the structure. The useful additional information as well as symmetry-antisymmetry properties of MM elements is used to characterize fin bending, overlay defects and design improvements in the OCD test structures are used to boost OCDs’ sensitivity to pitch-walking. In addition, the validity of the OCD based results is established by comparing the results to the top down critical dimensionscanning electron microscope (CD-SEM) and cross-sectional transmission electron microscope (TEM) images.
- Published
- 2017
- Full Text
- View/download PDF
8. Analytical TEM Characterization of Source/Drain Contacts in Advanced Semiconductor Devices
- Author
-
N. Saulnier, Adra Carr, B. Veeraraghavan, Vimal Kamineni, Tenko Yamashita, Zuoguang Liu, Oleg Gluschenkov, J. Gaudiello, Mark Raymond, Shogo Mochizuki, Hiroaki Niimi, Jody A. Fronheiser, Juntao Li, and Praneet Adusumilli
- Subjects
Materials science ,business.industry ,Optoelectronics ,Semiconductor device ,business ,Instrumentation ,Characterization (materials science) - Published
- 2018
- Full Text
- View/download PDF
9. Technology viable DC performance elements for Si/SiGe channel CMOS FinFTT
- Author
-
Thamarai S. Devarajan, Praneet Adusumilli, Derrick Liu, Hoon Kim, Vijay Narayanan, Christopher Prindle, Jeffrey C. Shearer, Terence B. Hook, Jie Yang, Miaomiao Wang, Mark Raymond, Andreas Knorr, Steven Bentley, Bruce Miao, Shogo Mochizuki, Oleg Gluschenkov, Kwan-Yong Lim, Philip J. Oldiges, Chengyu Niu, Bei Liu, Dinesh Gupta, Koji Watanabe, Gen Tsutsui, Mukesh Khare, Rama Divakaruni, Rohit Galatage, Huimei Zhou, Pietro Montanini, Gauri Karve, Jay W. Strane, Jody A. Fronheiser, Rajasekhar Venigalla, Chun Wing Yeung, Hiroaki Niimi, Dechao Guo, Fee Li Lie, Kisup Chung, Reinaldo A. Vega, James J. Kelly, Ruqiang Bao, Eric R. Miller, Huiming Bu, Zuoguang Liu, Robert R. Robison, Shariq Siddiqui, Sivananda K. Kanakasabapathy, Hemanth Jagannathan, and Andrew M. Greene
- Subjects
010302 applied physics ,0209 industrial biotechnology ,Materials science ,business.industry ,Contact resistance ,Doping ,Gate stack ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,01 natural sciences ,Power (physics) ,Silicon-germanium ,chemistry.chemical_compound ,020901 industrial engineering & automation ,CMOS ,chemistry ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,business ,Performance enhancement ,Communication channel - Abstract
Low Ge content SiGe-based CMOS FinFET is one of the promising technologies [1-2] offering solutions for both high performance and low power applications. In this paper, we established a competitive SiGe-based CMOS FinFET baseline and examined various elements for high performance offering. The performance elements in gate stack, channel doping, contact resistance, and junction have been explored to provide a cumulative 20% / 25% (n/pFET) performance enhancement. These elements provide a viable path towards performance enhancement for future technology nodes.
- Published
- 2016
- Full Text
- View/download PDF
10. A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels
- Author
-
Junli Wang, Matthew E. Colburn, Nelson Felix, Andreas Knorr, Tenko Yamashita, Charan V. V. S. Surisetty, Peter Zeitzoff, Dinesh Gupta, Y. Xu, Su Chen Fan, D. Park, Xin Miao, R. Divakaruni, Scott C. Johnson, Hiroaki Niimi, S. Lian, Balasubramanian S. Pranatharthi Haran, Andre Labonte, Eric R. Miller, Richard A. Conti, Shogo Mochizuki, Zhenxing Bi, M. Mottura, Bhagawan Sahu, Chengyu Niu, Donald F. Canaperi, John R. Sporre, James J. Demarest, Spyridon Skordas, Vamsi Paruchuri, Praneet Adusumilli, Seng Luan Lee, Lars W. Liebmann, Christopher Prindle, Walter Kleemeier, Oleg Gluschenkov, Peng Xu, Hemanth Jagannathan, Pietro Montanini, Rohit Galatage, Jody A. Fronheiser, Ruilong Xie, P. Oldiges, Neeraj Tripathi, Abraham Arceo, F. Lie, Robin Chao, Zuoguang Liu, D. Corliss, Stuart A. Sieg, Vimal Kamineni, Lee Choonghyun, Jeffrey C. Shearer, C. Labelle, J. Zhang, S. Kanakasabapathy, Stan D. Tsai, James Chingwei Li, Soon-Cheon Seo, H. Chen, H. P. Amanapu, Min Gyu Sung, Mark Raymond, Huiming Bu, Andrew M. Greene, Kisup Chung, Kerem Akarvardar, Sanjay Mehta, Richard G. Southwick, Chanro Park, C.-C. Yeh, John C. Arnold, K. Cheon, Myung-Hee Na, Mukesh Khare, Jungho Cha, Shariq Siddiqui, S. Whang, Lei Sun, Theodorus E. Standaert, Derren N. Dunn, Bassem Hamieh, T. Gow, Ki-chul Kim, Nicolas Loubet, Muthumanickam Sankarapandian, and Terence B. Hook
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,Silicon ,business.industry ,Extreme ultraviolet lithography ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,chemistry ,law ,Logic gate ,0103 physical sciences ,Multiple patterning ,Optoelectronics ,Photolithography ,0210 nano-technology ,business ,Lithography ,Next-generation lithography - Abstract
We present a 7nm technology with the tightest contacted poly pitch (CPP) of 44/48nm and metallization pitch of 36nm ever reported in FinFET technology. To overcome optical lithography limits, Extreme Ultraviolet Lithography (EUV) has been introduced for multiple critical levels for the first time. Dual strained channels have been also implemented to enhance mobility for high performance applications.
- Published
- 2016
- Full Text
- View/download PDF
11. FINFET technology featuring high mobility SiGe channel for 10nm and beyond
- Author
-
Kerem Akarvardar, K-Y Lim, R. Mo, Bruce B. Doris, Richard G. Southwick, Muthumanickam Sankarapandian, F. Lie, Dechao Guo, Bhagawan Sahu, Huiming Bu, Stuart A. Sieg, Chun Wing Yeung, Junli Wang, Andreas Knorr, Tenko Yamashita, John R. Sporre, Matthew E. Colburn, Nelson Felix, Jody A. Fronheiser, D. K. Sadana, Neeraj Tripathi, Jay W. Strane, R. Divakaruni, P. Oldiges, Gauri Karve, Derrick Liu, T. Hook, Shogo Mochizuki, Nicolas Loubet, Sean D. Burns, Vijay Narayanan, Rajasekhar Venigalla, James Chingwei Li, Pouya Hashemi, Dinesh Gupta, Koji Watanabe, James J. Demarest, Victor Chan, Ruqiang Bao, S. Kanakasabapathy, Robert R. Robison, Mukesh Khare, Stephen W. Bedell, Pietro Montanini, Hemanth Jagannathan, Vamsi Paruchuri, Gen Tsutsui, Kangguo Cheng, James H. Stathis, James J. Kelly, Reinaldo A. Vega, Jacob Ajey Poovannummoottil, and Miaomiao Wang
- Subjects
010302 applied physics ,business.industry ,Computer science ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Reliability (semiconductor) ,CMOS ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Node (circuits) ,0210 nano-technology ,business ,Technology insertion ,Communication channel - Abstract
SiGe for channel material has been explored as a major technology element after the introduction of FINFET into CMOS technology [1–4]. Research on long channel FETs and discrete short channel FETs demonstrated benefits in mobility [1–4] and reliability [2]. Given the disruption that SiGe FIN brings, every aspect associated with SiGe FIN needs to be carefully studied towards technology insertion. In this paper, we report the latest SiGe-based FINFET CMOS technology development. CMOS FINFETs with Si-FIN nFET and SiGe-FIN pFET is demonstrated as a viable technology solution for both server and mobile applications at 10nm node and beyond.
- Published
- 2016
- Full Text
- View/download PDF
12. Ti and NiPt/Ti liner silicide contacts for advanced technologies
- Author
-
Praneet Adusumilli, B. Zhang, Chanro Park, B. Liu, Jin Cai, Balasubramanian S. Pranatharthi Haran, J. J. An, D. Ferrer, E. Engbrecht, Ahmet S. Ozcan, Hiroaki Niimi, R. Divakaruni, Y. Yan, R. Bolam, Huiming Bu, F. Chafik, Bruce B. Doris, S. Stiffler, Dechao Guo, B. Morgenfeld, Henry K. Utomo, Nicolas Loubet, N. Zhan, D. Hilscher, Jeffrey C. Shearer, W. Henson, C. Tran, C-H. Lin, James Chingwei Li, M. Oh, Hemanth Jagannathan, Jody A. Fronheiser, D. Kang, Ruilong Xie, T. Nesheiwat, Zuoguang Liu, Ravikumar Ramachandran, S. Allen, Walter Kleemeier, Oleg Gluschenkov, J. Rice, R. Lallement, Christian Lavoie, Jiseok Kim, Nicolas Breil, Siyuranga O. Koswatta, Emre Alptekin, C. Goldberg, Noah Zamdmer, Shogo Mochizuki, Veeraraghavan S. Basker, Gen Tsutsui, Keith Kwong Hon Wong, S. Fan, N. Makela, S. Jain, James J. Demarest, Christopher D. Sheraw, C.-C. Yeh, Mark Raymond, Anil Kumar, Yoo-Mi Lee, Vamsi Paruchuri, V. Sardesai, Vimal Kamineni, Woo-Hyeong Lee, Y. Ke, M. Yu, Andre Labonte, Tenko Yamashita, C. Niu, and S. Narasimha
- Subjects
010302 applied physics ,Materials science ,Dopant ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,chemistry.chemical_compound ,chemistry ,0103 physical sciences ,Silicide ,Electronic engineering ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business - Abstract
We discuss the transition to Ti based silicides for source-drain (SD) contacts for 3D FinFET devices starting from the 14nm node & beyond. Reductions in n-FET & p-FET contact resistances are reported with the optimization of metallization process & dopant concentrations. The optimization of SiGe epitaxy and addition of a thin interfacial NiPt(10%) are found to significantly improve p-FET contact performance.
- Published
- 2016
- Full Text
- View/download PDF
13. Selective GeOx-scavenging from interfacial layer on Si1−xGex channel for high mobility Si/Si1−xGex CMOS application
- Author
-
Rohit Galatage, B. Lherron, Aniruddha Konar, Hyungjun Kim, Richard G. Southwick, Shariq Siddiqui, Shogo Mochizuki, T. Ando, Lee Choonghyun, Ruqiang Bao, Rajan K. Pandey, Koji Watanabe, Jody A. Fronheiser, Hemanth Jagannathan, Vamsi Paruchuri, S. Guillaumet, and Paul C. Jamison
- Subjects
010302 applied physics ,Materials science ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,CMOS ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business ,Layer (electronics) ,Scavenging ,Communication channel - Abstract
We demonstrate a technique for selective GeO x -scavenging which creates a GeO x -free IL on Si 1−x Ge x substrates. This process reduces N it by >60% to 2e11 and increases high-field mobility at N inv =1e13 cm−2 by ∼1.3× in Si 0.6 Ge 0.4 pFETs with sub-nm EOT.
- Published
- 2016
- Full Text
- View/download PDF
14. Interface preservation during Ge-rich source/drain contact formation
- Author
-
Chengyu Niu, Praneet Adusumilli, Adra Carr, Mark Raymond, Andre Labonte, James J. Demarest, Shariq Siddiqui, R.W. Hengstebeck, Juntao Li, Jody A. Fronheiser, Jessica Dechene, L. Jiang, Jeffrey C. Shearer, Hiroaki Niimi, and Vimal Kamineni
- Subjects
Materials science ,Silicon ,business.industry ,Schottky barrier ,Contact resistance ,chemistry.chemical_element ,02 engineering and technology ,Photoresist ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Silicon-germanium ,Germanide ,chemistry.chemical_compound ,chemistry ,Resist ,Electronic engineering ,Remote plasma ,Optoelectronics ,0210 nano-technology ,business - Abstract
Contact engineering of Ge-rich source/drain is of critical importance for the development of advanced nano-scale CMOS technology nodes. Germanosilicide or Germanide contacts with low Schottky barrier height are highly desirable to achieve low contact resistance for a Ge-rich source/drain. However, practical integration of Ge-rich SiGe into devices is complicated by its unique physical and chemical properties as compared to Si-rich epitaxial SiGe. We have observed significant erosion along the SiGe interface with its dielectric cap layer. The N2-H2 remote plasma resist strip process has been shown to trigger this erosion when GeO2 exists together with SiO2 at the interface. The integrity of Ge-rich SiGe contact interface can be preserved by replacing the N2-H2 remote plasma resist strip with an O2-based photoresist ash process. Cross-sectional STEM and EDX elemental analysis have confirmed Germanide and Germanosilicide formation at the Ge-rich SiGe contact interface.
- Published
- 2016
- Full Text
- View/download PDF
15. Inline monitoring of SiGe strain relaxed buffers (SRBs) using high-resolution X-ray diffraction: AM: Advanced metrology
- Author
-
P. Gin, Jody A. Fronheiser, Alexander Reznicek, B. Lherron, John G. Gaudiello, K. M. Matney, Paul Ryan, John Wall, Brock Mendoza, Nicolas Loubet, and M. Wormington
- Subjects
010302 applied physics ,Diffraction ,Materials science ,business.industry ,Nanotechnology ,02 engineering and technology ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,01 natural sciences ,Silicon-germanium ,Metrology ,chemistry.chemical_compound ,Reciprocal lattice ,chemistry ,CMOS ,0103 physical sciences ,Optoelectronics ,Process control ,Node (circuits) ,0210 nano-technology ,business - Abstract
We describe the use of high resolution X-ray diffraction (HRXRD) for inline metrology of strain relaxed buffer (SRB) layers and epitaxial layers grown thereon. The use of SRBs as a virtual substrate is a promising candidate for advanced CMOS logic at the 7 nm technology node and presents some unique challenges to traditional HRXRD measurements. To overcome these challenges, reciprocal space maps (RSMs) were employed to characterize different films on SRBs. We discuss the measurement strategies and recent improvements to X-ray metrology tools that enable these measurements for inline process control. Furthermore, advances in the automated data extraction and analysis are introduced.
- Published
- 2016
- Full Text
- View/download PDF
16. Nonconventional applications of Mueller matrix-based scatterometry for advanced technology nodes
- Author
-
Dhairya Dixit, Alok Vaid, Taher Kagalwala, Vinit Todi, Yevgeny Lifshitz, Alexander Elia, Nick Keller, and Jody A. Fronheiser
- Subjects
Semiconductor device fabrication ,Computer science ,business.industry ,Mechanical Engineering ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) ,Metrology ,010309 optics ,Ellipsometry ,0103 physical sciences ,Optoelectronics ,Mueller calculus ,Sensitivity (control systems) ,Electrical and Electronic Engineering ,0210 nano-technology ,Reflectometry ,business ,Critical dimension - Abstract
The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex three-dimensional device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise, and nondestructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nanostructures. Use of optical scatterometry for characterizing patterning process errors such as pitch-walking, overlay, etc. is fairly limited. Characterization of process-induced errors is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process-induced errors and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay, and fin bending for advanced technology nodes beyond 7 nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix (MM) spectroscopic ellipsometry data provide important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition, the symmetry–antisymmetry properties associated with MM elements provide an excellent means of measuring asymmetry present in the structure. The useful additional information as well as symmetry–antisymmetry properties of MM elements is used to characterize fin bending, overlay defects, and design improvements in the OCD test structures are used to boost OCDs’ sensitivity to pitch-walking. In addition, the validity of the OCD-based results is established by comparing the results to the top down critical dimension-scanning electron microscope and cross-sectional transmission electron microscope images.
- Published
- 2018
- Full Text
- View/download PDF
17. NBTI in Si0.5Ge0.5 RMG gate stacks — Effect of high-k nitridation
- Author
-
Eduard A. Cartier, Andreas Kerber, Richard G. Southwick, Shariq Siddiqui, Jody A. Fronheiser, Purushothaman Srinivasan, and Lisa F. Edge
- Subjects
Negative-bias temperature instability ,Materials science ,Annealing (metallurgy) ,business.industry ,Logic gate ,Electrical engineering ,Gate stack ,Optoelectronics ,Field-effect transistor ,Metal gate ,business ,Nitriding ,High-κ dielectric - Abstract
Negative Bias Temperature Instability (NBTI) is assessed in (100)Si planar cSi 0.5 Ge 0.5 Replacement Metal Gate (RMG) gate stacks, with and without high-k nitridation for various post nitridation anneal (PNA) conditions. Observed initial N it was 8∼9×1011 cm−2. Nitrided devices show higher NBTI than non-nitrided devices. Observed time slopes become shallower from ∼0.25 to ∼0.20. Overall, observed NBTI in cSi 0.5 Ge 0.5 stacks are promising making it viable for use in 7nm and below nodes.
- Published
- 2015
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.