35 results on '"C.H. Tsai"'
Search Results
2. Wafer Level System Integration of the Fifth Generation CoWoS®-S with High Performance Si Interposer at 2500 mm2
- Author
-
Wen-Chih Chiou, Calvin Lu, Douglas Yu, C.H. Tsai, Christine Chiu, C. T. Wang, P. K. Huang, Kai-Yuan Ting, Shang-Yun Hou, W. H. Wei, and Clark Hu
- Subjects
Interconnection ,Materials science ,business.industry ,Thermal resistance ,Electrical engineering ,Reticle ,Interposer ,Thermal grease ,Power integrity ,High Bandwidth Memory ,business ,Die (integrated circuit) - Abstract
Chip-on-Wafer-on-Substrate with Si interposer (CoWoS-S) is a TSV-based multi-chip integration technology that is widely used in high performance computing (HPC) and artificial intelligence (AI) accelerator area due to its flexibility to accommodate multiple chips of SoC, chiplet, and 3D stacks such as high bandwidth memory (HBM). The interposer size increases steadily over the past few years, from one full reticle size (∼830 mm2) to two reticle size (∼1700 mm2). The growth of interposer size offers more integration power to accommodate more active silicon in a package to satisfy the HPC/AI needs. In this paper, we report the new 5th generation CoWoS-S (CoWoS-S5) based on a Si interposer as large as three full reticle size (∼2500 mm2) by a novel 2-way lithography stitching approach. This will accommodate a multiple of logic chips at a total area of 1200 mm2 (with chiplets) together with eight HBM stacks. Besides the dimensional increase of the Si interposer, new features are incorporated to further enhance the electrical and thermal performances of CoWoS-S5 compared with the previous CoWoS-S portfolio. These include an integrated deep trench capacitor (iCap) for enhanced power integrity, 5 layers of sub-micron Cu interconnect with reduced sheet resistance to satisfy high speed die to die interconnect, new TSV structure interposer for both return and insertion loss reduction, and a higher thermal conductivity thermal interface material (TIM) to achieve a lower thermal resistance. Component level reliability with excellent electrical and physical results are also discussed.
- Published
- 2021
3. Heterogeneous Integration of a Compact Universal Photonic Engine for Silicon Photonics Applications in HPC
- Author
-
Douglas Yu, Kai-Yuan Ting, Shang-Yun Hou, C. C. Lin, Wen-Chih Chiou, C.H. Tsai, Feng Wei Kuo, C. T. Wang, and H. Hsia
- Subjects
System requirements ,Silicon photonics ,Coupling loss ,Application-specific integrated circuit ,business.industry ,Computer science ,Integration platform ,Electronic engineering ,System integration ,Insertion loss ,Photonics ,business - Abstract
One of the prominent challenges for widespread adoption of silicon photonics (SiPh) technology is the availability of an integration platform that can simultaneously meet a wide range of power, performance, and cost criteria in different applications. As a result, there is a diversity of SiPh integrated solutions proposed or demonstrated, but none is considered as a common solution. In this paper, we will first survey industry proposed photonic engine structures in monolithic and heterogeneous integration on their strengths and weaknesses. We will then propose a compact and universal PE structure - COUPE (COmpact Universal Photonic Engine) that could consolidate different requirements onto the same integration platform. COUPE has the electrical IC – photonic IC integration with the electrical interface designed to minimize the EIC-PIC coupling loss. Compared with industry proposed PE technology, COUPE can provide low insertion loss for both grating coupler (GC) and edge coupler (EC). For either GC or EC, the COUPE is a solid structure without cavities or mechanically weak parts, thus enabling low insertion loss without contamination or mechanical concerns. COUPE also has the flexibility to be integrated easily with host ASIC to form a co-package structure. The COUPE integration scheme can meet the most demanding system requirements and pave the way for SiPh-based wafer level system integration (WLSI) for high performance computing applications.
- Published
- 2021
4. Next-Generation Design and Technology Co-optimization (DTCO) of System on Integrated Chip (SoIC) for Mobile and HPC Applications
- Author
-
Chen Chih-Lin, Cheng Yun-Wei, K.-J. Chen, Douglas Yu, C.H. Tsai, Tsung-Ching Huang, M. F. Chen, C. T. Wang, F. Lee, and J. Yuan
- Subjects
Reduction (complexity) ,Interconnection ,business.product_category ,Computer science ,business.industry ,Embedded system ,Next-generation network ,Stacking ,Die (manufacturing) ,Small Outline Integrated Circuit ,business ,Chip - Abstract
This paper demonstrates the next-generation design and technology co-optimization (DTCO) of system on integrated chip (SoIC) for mobile and HPC applications, where the SoIC technology was proposed to integrate multichips with different functionality and technology into a single SoC chip. The new DTCO includes overall die partitioning, die integration, and interconnect. These methodologies can be used for improving time-to-market and trade-off between performance and cost. In this paper, two prototypes of stacking CPU and memory dies are demonstrated with 15% performance gain and 30% average point-to-point distance reduction.
- Published
- 2020
5. SoIC for Low-Temperature, Multi-Layer 3D Memory Integration
- Author
-
E. B. Liao, Clark Hu, M. F. Chen, Douglas Yu, C. S. Lin, W. C. Chiou, C. C. Kuo, C.H. Tsai, and C. T. Wang
- Subjects
010302 applied physics ,Materials science ,business.industry ,Stacking ,Small Outline Integrated Circuit ,Chip ,01 natural sciences ,Die (integrated circuit) ,Chemical-mechanical planarization ,0103 physical sciences ,Bandwidth (computing) ,Optoelectronics ,Static random-access memory ,business ,Dram - Abstract
A low-temperature System-on-Integrated- Chip (LT-SoIC) technology has been successfully applied to multi-layer 3D memory cube integration, which enables high bandwidth, low power and small footprint memory for future HPC applications. In addition, using the technology, each memory layer can be thinned to required thickness to maintain total height while supporting more layer counts.Two LT-SoIC processes were presented. One is through-via reveal last and the other one is through-via reveal first. The through-via revealing of each stacked die is one of the most critical process steps. Various conditions of planarization on chip backside after the through-via revealing were studied to mitigate the corner or edge rounding issue, as it may cause poor bonding. By improving the back-side revealing process, we can achieve good bonding for multi-layer stacking, including DRAM stacking with 4-Hi/ 8-Hi/ 12-Hi, and SRAM stacking with 4-Hi, using the LT-SoIC technology.The bonding quality of the LT-SoIC is measured using I-V curve and shear stress equipment. Linear I-V curve was obtained to show it is an Ohmic contact and the bonding strength of >2.5J/m2 was measured to show good bonding force. The through-via chain resistances for 4-Hi SRAM and 4/8/12-Hi DRAM and the breakdown voltage for 8/12- Hi DRAM were measured, too. Reliable results were obtained to indicate the integrity of the through-via chains. After that, the bandwidth density and power consumption between typical 3D memory and the SoIC memory were compared. High bandwidth density and low power consumption are obtained in the SoIC memory. Clear advantages of the SoIC CoW stacking technology are realized for multi-layer memory stacking at low temperature.
- Published
- 2020
6. Low Temperature SoIC™ Bonding and Stacking Technology for 12/16-Hi High Bandwidth Memory (HBM)
- Author
-
C. T. Wang, C.H. Tsai, M. F. Chen, Douglas Yu, Terry Ku, and W. C. Chiou
- Subjects
Materials science ,Stacking ,02 engineering and technology ,High Bandwidth Memory ,01 natural sciences ,law.invention ,Stack (abstract data type) ,law ,0103 physical sciences ,Thermal ,0202 electrical engineering, electronic engineering, information engineering ,Static random-access memory ,Electrical and Electronic Engineering ,010302 applied physics ,Very-large-scale integration ,Dynamic random-access memory ,business.industry ,020208 electrical & electronic engineering ,Bandwidth (signal processing) ,Small Outline Integrated Circuit ,020206 networking & telecommunications ,Electronic, Optical and Magnetic Materials ,Optoelectronics ,business ,Electrical efficiency ,Dram - Abstract
A low temperature system-on-integrated-chip (SoIC) bonding and stacking technology is proposed and implemented for 3-D memory integration, such as 3-D static random access memory (SRAM) or dynamic random access memory (DRAM) cube. It extends not only 2-D pin count to 12000/mm2 but also overcomes the obstacle of the stacking height. 4-Hi, 8-Hi, and 12-Hi stacks, each with 1 base die and 4, 8, and 12 dies, respectively, are realized and demonstrated in this article. The daisy chains in the 4-Hi/8-Hi/12-Hi structures incorporating over 10 000 through silicon vias (TSVs) and bonds are tested with liner ${I}-{V}$ curves, which indicates the good bonding and stacking quality. The electrical link from base controller to top DRAM for 12-Hi and 16-Hi high bandwidth memory (HBM) structure is built up to study the bandwidth (BW) and power efficiency. Compared to $\mu $ bump technology, the BW for the 12-Hi and 16-Hi structures using SoIC bonding shows the improvement of 18% and 20%, respectively, under same pin pitch and the power efficiency has the improvement of 8% and 15%. For thermal performance, the 12-Hi and 16-Hi SoIC-bond structure is 7% and 8% better than those using $\mu $ bump technology, respectively. With this innovative SoIC bonding and stacking technology, the bond pitch is scalable to sub-micrometer and the die thickness is manageable to be thinner, which are prospected for the application of higher BW 3-D memory with tera byte (TB)/s per stack.
- Published
- 2020
7. Design and Analysis of Logic-HBM2E Power Delivery System on CoWoS® Platform with Deep Trench Capacitor
- Author
-
H. Hsia, C. C. Lin, C. T. Wang, C.H. Tsai, Shang-Yun Hou, W. T. Chen, Kai-Yuan Ting, and Douglas Yu
- Subjects
010302 applied physics ,business.industry ,Computer science ,020208 electrical & electronic engineering ,Power integrity ,02 engineering and technology ,Supercomputer ,01 natural sciences ,Capacitance ,Power (physics) ,law.invention ,Capacitor ,PHY ,law ,Embedded system ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Voltage droop ,business ,Electrical impedance - Abstract
A logic-HBM2E power delivery system on a chip-on-wafer-on-substrate (CoWoS) platform with a deep trench capacitor (DTC) has been designed and analyzed for high performance computing (HPC) applications. The DTC integrated in the silicon interposer of the CoWoS provides the capacitance density of 300 nF/mm2 and low leakage current of
- Published
- 2020
8. Fabrication and Characterization of Millimeter Wave 3D InFO Dipole Antenna Array Integrated with CMOS Front-end Circuits
- Author
-
Wu Kai-Chiang, Kun-You Lin, C.H. Tsai, Che-Wei Hsu, Lu Chun-Lin, H. Wang, C. T. Wang, Doug C. H. Yu, K. Y. Kao, C. S. Liu, Tang Tzu-Chun, Tzong-Lin Wu, and Pu Han-Ping
- Subjects
Beamforming ,Materials science ,business.industry ,Bandwidth (signal processing) ,020206 networking & telecommunications ,02 engineering and technology ,law.invention ,Front and back ends ,Antenna array ,CMOS ,law ,Extremely high frequency ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Dipole antenna ,business ,Electronic circuit - Abstract
A high performance 3D dipole antenna with metal thickness >100 μm for wide bandwidth and lateral radiation is realized on InFO package. 25 % wide fractional bandwidth, from 60 to 77 GHz, has been obtained. The beamforming capability of the antenna array system with 6 dBi gain is measured in a 40 nm CMOS RFIC co-designed system.
- Published
- 2019
9. Integrated Deep Trench Capacitor in Si Interposer for CoWoS Heterogeneous Integration
- Author
-
Chung-Cheng Wu, T.H. Yu, Kai-Yuan Ting, Fang-Cheng Chen, C. T. Wang, C.H. Tsai, Douglas Yu, Shang-Yun Hou, Y.W. Lee, H. Hsia, and W. C. Chiou
- Subjects
010302 applied physics ,Materials science ,Dielectric strength ,Through-silicon via ,business.industry ,020208 electrical & electronic engineering ,Power integrity ,Time-dependent gate oxide breakdown ,02 engineering and technology ,01 natural sciences ,Capacitance ,Die (integrated circuit) ,law.invention ,Capacitor ,law ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Interposer ,Optoelectronics ,business - Abstract
To accommodate the exceedingly demanding power integrity (PI) requirements for the advanced artificial intelligence (AI) and high performance computing (HPC) components, high-K (HK) based deep trench capacitors (DTC) have been integrated the first time in the silicon interposer with through silicon via (TSV) and fine-pitch interconnects for chip-on-wafer-on-substrate (CoWoS) integration. A specific capacitance density (C s ) of up to 340 nF/mm2 is achieved over a large capacitor array, providing a total capacitance (C t ) of up to 68 μF per interposer die. The HK dielectric has intrinsic time-dependent dielectric breakdown (TDDB) lifetime of > 1,000 years at an operation voltage (V cc ) of 1.35V, and a normalized leakage current (I LK ) density LK & V bd tailing) were observed. The high capacitance, low leakage, large area and reliability-proven Si-interposer integrated DTC, or iCap, provides superior PI performance and therefore greatly enhances the merit of using CoWoS for the next-generation heterogeneous wafer level system integration (WLSI).
- Published
- 2019
10. New Circuit Topology for System-Level Reliability of GaN
- Author
-
Gabriel Petrus Lansbergen, Ming-Cheng Lin, Man-Ho Kwan, C.H. Tsai, H. C. Tuan, Cheng-Pao Wu, Haw-Yun Wu, Alex Kalnitsky, J. L. Yu, and Wen-Che Chang
- Subjects
010302 applied physics ,Mean time between failures ,Robustness (computer science) ,Power consumption ,Computer science ,020208 electrical & electronic engineering ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,System level ,02 engineering and technology ,01 natural sciences ,Large sample ,Reliability engineering - Abstract
To accelerate GaN adoption, beyond-JEDEC system-level reliability should be done to prove the robustness of GaN in applications. In this paper, a new hard switching test vehicle (half-bridge RC load) was proposed & demonstrated to achieve system-like stress, flexibility of acceleration test, low system power consumption with large sample size, easy setup & control which can meet system-level reliability requirement.
- Published
- 2019
11. Smart GaN platform: Performance & challenges
- Author
-
King-Yuen Wong, Fu-Wei Yao, Chung-Yi Yu, Chih-Chieh Yeh, Yun-Hsiang Wang, Wen-De Wang, Yu-Syuan Lin, Ru-Yi Su, Ming-Huei Lin, S.-C. Liu, M.-H. Chang, Jan-Wen You, C.H. Tsai, S.-P. Wang, Man-Ho Kwan, Haw-Yun Wu, C. B. Wu, Ching-Ray Chen, Alex Kalnitsky, Tze-Chiang Huang, Chan-Hong Chern, L. Y. Tsai, H. C. Tuan, W.-C. Yang, J. L. Yu, and Chen Po-Chih
- Subjects
010302 applied physics ,Scheme (programming language) ,Buck converter ,business.industry ,Computer science ,020208 electrical & electronic engineering ,Electrical engineering ,02 engineering and technology ,01 natural sciences ,Aluminum gallium nitride ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Power semiconductor device ,Channel modulation ,business ,Low voltage ,computer ,computer.programming_language ,Electronic circuit - Abstract
This paper explores the next stage of GaN power devices with 2-level integration of peripheral low voltage active and passive devices. The 1st level consists of protection/control/driving circuits, which potentially improves the performance and overcomes the challenges to the power devices. The 2nd level integration has high-low side on-chip integration on a 100V technology platform. The challenge of channel modulation due to substrate bias sharing is effectively eliminated by the invented new scheme. The system efficiency of DC-DC buck converter using such scheme is enhanced with lower on-state resistance and good stability.
- Published
- 2017
12. Ultra-low-resistance 3D InFO inductors for integrated voltage regulator applications
- Author
-
Victor C. Y. Chang, C. T. Wang, Alan Roth, Ying-Chih Hsu, Chen Chih-Lin, Eric Soenen, C.H. Tsai, Jeng-Shien Hsieh, and Douglas Yu
- Subjects
010302 applied physics ,Engineering ,Form factor (electronics) ,business.industry ,Voltage control ,020208 electrical & electronic engineering ,Electrical engineering ,02 engineering and technology ,Voltage regulator ,Inductor ,01 natural sciences ,Power (physics) ,Inductance ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,business ,Low resistance ,Electrical efficiency - Abstract
A novel 3D InFO inductor is developed to integrate with TSMC 16nm FinFET devices for high efficiency integrated voltage regulator (IVR) design. The 3D InFO inductor is designed using thick through-InFO-via (TIV) copper, where the form factor is 1.4 × 2.2 × 0.15 mm3. It performs 2.14 nH inductance at 140 MHz and 3.2 mΩ resistance at DC. The resistance of power delivery network (PDN) between inductor and load is 1.1 mu. The InFO technology provides the low resistance 3D inductor and PDN concurrently for the IVR system design to achieve a peak power efficiency of 93%.
- Published
- 2016
13. A 7nm CMOS platform technology featuring 4th generation FinFET transistors with a 0.027um2 high density 6-T SRAM cell for mobile SoC applications
- Author
-
S.Y. Chang, Hon-Jarn Lin, S.H. Yang, R. Chen, R.F. Tsui, Jhon-Jhy Liaw, S. M. Jang, M.C. Chiang, C. H. Hsieh, C.H. Yao, P N Chen, K T Lai, Y S Mor, Lin Chih-Yung, Chun-Kuang Chen, Kuang-Hsin Chen, Chia-Pin Lin, J.H. Chen, C.H. Tsai, Y. Ku, T. Miyashita, Ming-Huan Tsai, C. H. Lee, Chang Chih-Yang, Hou-Yu Chen, K.H. Pan, Shien-Yang Wu, Joy Cheng, C S Liang, Kuei-Shun Chen, C.H. Chang, and Vincent S. Chang
- Subjects
010302 applied physics ,Engineering ,business.industry ,020208 electrical & electronic engineering ,Transistor ,Sram cell ,Electrical engineering ,High density ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,01 natural sciences ,law.invention ,Power (physics) ,Reduction (complexity) ,CMOS ,law ,Logic gate ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Static random-access memory ,business - Abstract
For the first time, a leading edge 7nm CMOS platform technology for mobile SoC applications is presented. This technology provides >3.3X routed gate density and 35%∼40% speed gain or >65% power reduction over our 16nm FinFET technology. A fully functional 256Mb SRAM test-chip with the smallest high density SRAM cell of 0.027um2 is demonstrated down to 0.5V. The 4th generation FinFET transistors are optimized with device mismatch reduction by 25%∼35% and multi-Vt device options to enable low power and high performance design requirements.
- Published
- 2016
14. Demonstration of a sub-0.03 um2high density 6-T SRAM with scaled bulk FinFETs for mobile SOC applications beyond 10nm node
- Author
-
C.Y. Lee, M.C. Chiang, Lin Chih-Yung, Kuei-Shun Chen, V.S. Chang, C.H. Yao, R. Chen, S.M. Jang, R.F. Tsui, C.H. Chang, Y.K. Wu, C.H. Tsai, T. Miyashita, Jhon-Jhy Liaw, Huicheng Chang, Shien-Yang Wu, Joy Cheng, K.H. Pan, Chang-Ta Yang, C. H. Hsieh, Kai-Yuan Ting, and Y. Ku
- Subjects
010302 applied physics ,Engineering ,Hardware_MEMORYSTRUCTURES ,business.industry ,Electrical engineering ,High density ,020206 networking & telecommunications ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Swing ,01 natural sciences ,CMOS ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Static noise margin ,Node (circuits) ,Static random-access memory ,business - Abstract
For the first time, we demonstrate the smallest, fully functional 32Mb 6-T high density SRAM reported in literature with scaled bulk FinFETs for CMOS technology beyond 10nm node. Scaled FinFET devices exhibit excellent electrostatic with DIBL of
- Published
- 2016
15. An enhanced 16nm CMOS technology featuring 2nd generation FinFET transistors and advanced Cu/low-k interconnect for low power and high performance applications
- Author
-
Lin Chih-Yung, Tze-Liang Lee, S.Y. Chang, R.F. Tsui, Ming-Huan Tsai, K.H. Pan, Joy Cheng, R. Chen, Kuei-Shun Chen, C.H. Yao, T. Yamamoto, M.C. Chiang, Y.K. Wu, T. Chang, Kai-Yuan Ting, J.H. Chen, Jhon-Jhy Liaw, S. M. Jang, C. H. Lee, S.H. Yang, Y. Ku, H. M. Lee, Vincent S. Chang, Hou-Yu Chen, Liang Min-Chang, H.T. Huang, S.Z. Chang, Yuan-Hung Chiu, Shien-Yang Wu, W. Chang, Chun-Kuang Chen, C.H. Tsai, T. Miyashita, and C.H. Chang
- Subjects
Interconnection ,Materials science ,business.industry ,Transistor ,Electrical engineering ,Capacitance ,law.invention ,Reduction (complexity) ,Reliability (semiconductor) ,CMOS ,law ,Dynamic demand ,Hardware_INTEGRATEDCIRCUITS ,Static random-access memory ,business - Abstract
Advancing the state-of-the-art 16nm technology reported last year, an enhanced 16nm CMOS technology featuring the second generation FinFET transistors and advanced Cu/low-k interconnect is presented. Core devices are re-optimized to provide additional 15% speed boost or 30% power reduction. Device overdrive capability is also extended by 70mV through reliability enhancement. Superior 128Mb High Density (HD) SRAM Vccmin capability of 450mV is achieved with variability reduction for the first time. Metal capacitance reduction by ∼9% is realized with advanced interconnect scheme to enable dynamic power saving.
- Published
- 2014
16. 300mm size ultra-thin glass interposer technology and high-Q embedded helical inductor (EHI) for mobile application
- Author
-
C.H. Tsai, H. H. Chuang, K. C. Yee, W. S. Liao, C.H. Yu, Doug C. H. Yu, C. T. Wang, Chia-Chia Lin, T. H. Peng, Liang-Ju Yen, J. N. Hung, W. C. Lai, C. C. Sheu, and En-Hsiang Yeh
- Subjects
Fabrication ,Electric power transmission ,Materials science ,business.industry ,Electrical engineering ,Interposer ,Mixed-signal integrated circuit ,Signal integrity ,Integrated circuit packaging ,business ,Inductor ,Chip - Abstract
The first publication on fabrication of a 300 mm size, 50 μm ultra-thin glass interposer is presented. According to measured data and modeling analysis, merits of on-glass inductors and transmission lines outperform those of on-silicon in Q-factor, power dissipation, and power/signal integrity. Glass interposer is a promising building block technology for future hybrid mixed signal heterogeneous chip integration solution.
- Published
- 2013
17. A 16nm FinFET CMOS technology for mobile SoC and computing applications
- Author
-
Y. Ku, Y.K. Wu, C.H. Tsai, Tze-Liang Lee, K.H. Pan, T. Miyashita, C.H. Chang, Kuei-Shun Chen, C.H. Yao, Chun-Kuang Chen, C. C. Kuo, S.H. Yang, Jhon-Jhy Liaw, S. M. Jang, Hou-Yu Chen, Liang Min-Chang, W. Chang, H. Y. Chen, R. Chen, H. T. Lin, Chang Chih-Yang, H. M. Lee, Ming-Huan Tsai, M. Yeh, H. M. Lien, H. C. Huang, B. C. Hsu, Joy Cheng, Y. H. Chen, T. Yamamoto, M.C. Chiang, C. C. Liu, J.H. Chen, Yuan-Hung Chiu, Shien-Yang Wu, Y. C. Lu, R.F. Tsui, Lin Chih-Yung, T. Chang, S.Y. Chang, Kai-Yuan Ting, P. R. Chang, and Vincent S. Chang
- Subjects
Interconnection ,Engineering ,business.industry ,Transistor ,PMOS logic ,law.invention ,CMOS ,law ,MOSFET ,Electronic engineering ,Optoelectronics ,System on a chip ,Static random-access memory ,business ,NMOS logic - Abstract
For the first time, we present a state-of-the-art energy-efficient 16nm technology integrated with FinFET transistors, 0.07um2 high density (HD) SRAM, Cu/low-k interconnect and high density MiM for mobile SoC and computing applications. This technology provides 2X logic density and >35% speed gain or >55% power reduction over our 28nm HK/MG planar technology. To our knowledge, this is the smallest fully functional 128Mb HD FinFET SRAM (with single fin) test-chip demonstrated with low Vccmin for 16nm node. Low leakage (SVt) FinFET transistors achieve excellent short channel control with DIBL of
- Published
- 2013
18. High performance 22/20nm FinFET CMOS devices with advanced high-K/metal gate scheme
- Author
-
Hun-Jan Tao, H. C. Lin, Huan-Just Lin, Lee Chia-Fu, P.C. Yen, C.H. Huang, Yuan-Hung Chiu, W.S. Huang, C. C. Wu, King-Yuen Wong, Chun Chen, Stock Chang, Wang Shiang-Bau, Li-Shiun Chen, S.W. Chuang, Po-Kang Wang, Ming-Jie Huang, X.F. Yu, S.Y. Ku, Chien-Chao Huang, M.L. Cheng, Yung-Huei Lee, K. F. Yu, T.H. Li, C.M. Wu, Y. C. Peng, C.H. Tsai, Y.C. Lin, Tsz-Mei Kwok, Yi-Chun Huang, P.S. Lim, T.C. Gan, Tzong-Lin Wu, K.Y. Hsu, L.Y. Yang, S.S. Lin, L.W. Weng, T.H. Hsieh, F.K. Yang, C.T. Chan, Eric Ou-Yang, P.C. Hsieh, Derek Lin, S.B. Wang, Ming-Jer Chen, A. Keshavarzi, Chih-Yuan Lu, Chuan-Ping Hou, L.T. Lin, J.L. Yang, Yuh-Jier Mii, Chien-Chang Su, J.H. Chen, Hsieh Ching-Hua, Huan-Neng Chen, Y.W. Tseng, C. P. Lin, Chou Chun-Hao, A.S. Chang, Tseng Chien-Hsien, S.H. Liao, Tsung-Lin Lee, and M. Cao
- Subjects
Materials science ,Stack (abstract data type) ,CMOS ,business.industry ,Logic gate ,MOSFET ,Electrical engineering ,Static random-access memory ,business ,Metal gate ,Immersion lithography ,High-κ dielectric - Abstract
A high performance 22/20nm CMOS bulk FinFET achieves the best in-class N/P I on values of 1200/1100 µA/µm for I off =100nA/µm at 1V. Excellent device electrostatic control is demonstrated for gate length (L gate ) down to 20nm. Dual-Epitaxy and multiple stressors are essential to boost the device performance. Dual workfunction (WF) with an advanced High-K/Metal gate (HK/MG) stack is deployed in an integration-friendly CMOS process flow. This dual-WF approach provides excellent V th roll-off immunity in the short-channel regime that allows properly positioning the long-channel device V th . Enhanced 193nm immersion lithography has enabled the stringent requirements of the 22/20nm ground rules. Reliability of our advanced HK/MG stack is promising. Excellent SRAM static noise margin at 0.45V is reported.
- Published
- 2010
19. Autonomous security robot services using eye-in-hand visual servo system
- Author
-
C.H. Tsai, Ren C. Luo, C.M. Chang, and Chun C. Lai
- Subjects
Robot kinematics ,Social robot ,Inverse kinematics ,Computer science ,business.industry ,Arm solution ,Mobile robot ,Robot end effector ,Mobile robot navigation ,law.invention ,Robot control ,law ,Computer vision ,Artificial intelligence ,business - Abstract
This paper describes an autonomous eye-in-hand coordination application of security robot for services in a variety of applications. We have designed an autonomous mobile security robot called ldquoSecurity Warriorrdquo, which equipped with arms and embedded motion controller combines the non-specific environment of vision system with the robust inverse kinematics computation. In order to reduce the cost and volume, we design an embedded control system for 14 servo motor of robot arms. Besides, we combine inverse kinematics to eye-in-hand servo control robot arm to get closer target and use CCD on robot wrist to feedback target position. In order to reach and grasp the target object, we have used vision system by using particle analysis to find out centroid of region, the edge of the region and the percentage of area of a picture. This system has been integrated and experimentally demonstrated the success of target object acquisition.
- Published
- 2008
20. Optimal PD-SOI Technology for High Performance Applications
- Author
-
Y. Laplanche, T.L. Tsai, C. T. Tsai, J.-L. Pelloie, Y.H. Lin, C.H. Tsai, G.H. Ma, W.T. Chiang, P.W. Liu, and Y.T. Huang
- Subjects
Engineering ,Circuit switching ,Hardware_MEMORYSTRUCTURES ,business.industry ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,Energy consumption ,Capacitance ,Reliability (semiconductor) ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Static random-access memory ,business ,Hardware_LOGICDESIGN ,Communication channel - Abstract
We present an optimal partially-depleted silicon-on-insulator (PD-SOI) platform, which demonstrates superior performance of SOI over bulk technology. Device optimization is performed in terms of circuit switch speed and power consumption through channel and S/D engineering. Fundamental device characteristics, SRAM yields, reliability assessment, and physical IP qualification for our PD-SOI platform are all validated to demonstrate the feasibility for high performance applications. Performance comparison based on circuit simulation clearly shows the SOI advantage on area and power consumption. In addition, the strained-SOI (sSOI) technology is developed for further SOI performance enhancement.
- Published
- 2008
21. Parallel electron beam micro-column with self-aligned carbon nanotube emitters
- Author
-
J.Y. Ho, C.H. Tsai, Masayoshi Esashi, and Takahito Ono
- Subjects
Materials science ,business.industry ,Field emitter array ,Nanotechnology ,High voltage ,Carbon nanotube ,law.invention ,Anode ,Threshold voltage ,law ,Cathode ray ,Physics::Accelerator Physics ,Optoelectronics ,business ,Electrostatic lens ,Common emitter - Abstract
In this paper, we have proposed, fabricated and characterized a parallel electron beam micro-column with single-stranded carbon nanotube (CNT) filed emitters. The integrated micro-column consists of a self-aligned CNT field emitter array (FEA), and a multi-layered electrostatic Si focusing lens array. In our design, the emitters, gate and electrostatic lens array are electrically isolated, and each source can be controlled individually. Electron emission performance of the fabricated CNT/Si emitter was characterized. The best turn-on fields, defined as the field required to generate an emission current of 1 nA, were approximately 6 V. When applying a gate voltage of 100 V, a 110 nA anode current is measured. It is seen that CNT/Si emitter showed low threshold voltage; however, the emission current fluctuation was high during high voltage operation.
- Published
- 2008
22. 65nm CMOS BULK to SOI comparison
- Author
-
T.F. Chen, C.H. Tsai, Y.T. Huang, Y. Laplanche, C.T. Tsai, W.T. Chiang, G.H. Ma, Y.C. Cheng, P.W. Liu, J.L. Pelloie, and M.Y.T. Huang
- Subjects
Hardware_MEMORYSTRUCTURES ,business.industry ,Computer science ,Electrical engineering ,Gate length ,Silicon on insulator ,Soi cmos ,Hardware_PERFORMANCEANDRELIABILITY ,Engineering physics ,CMOS ,Nanoelectronics ,Hardware_INTEGRATEDCIRCUITS ,business ,AND gate ,Gate equivalent ,Hardware_LOGICDESIGN - Abstract
SOI is today mainly used for high-speed CPU applications. The advantages brought by SOI are still questioned or not clearly understood and little information has been published about the comparison between bulk and SOI CMOS. First reason is that this comparison to be representative must be done for same process features such as gate length and gate oxide thickness, second reason is that designing the same circuit in both bulk and SOI requires a significant investment. 65nm CMOS bulk and SOI both developed at UMC 12" facility with same process features are compared in this paper.
- Published
- 2007
23. Circuit Performance Optimization in Advanced PD-SOI CMOS Development
- Author
-
P.W. Liu, J.-L. Pelloie, C.T. Tsai, C. H. Wu, G.H. Ma, Y.T. Huang, W.T. Chiang, Y. Laplanche, C.H. Tsai, Y. S. Huang, and C.M. Su
- Subjects
Circuit switching ,Materials science ,business.industry ,Electrical engineering ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,Propagation delay ,Threshold voltage ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Static random-access memory ,business ,Hardware_LOGICDESIGN ,Leakage (electronics) ,Electronic circuit - Abstract
Device optimization on partially-depleted silicon-on-insulator (PD-SOI) CMOS is systematically performed in terms of circuit switching speed and power consumption. The effects of several key factors, such as threshold voltage (Vth), pre-amorphization implantation (PAI), and silicon film thickness (Tsi), are fully investigated and optimized to achieve optimal ring-oscillator performance. We found that well-designed PAI improves circuit delay vs. leakage characteristics, while decreasing Tsi also reduces the propagation delay. We then present the optimized AC performance for a variety of circuits, as well as the DC performance, the SRAM characteristics, and the reliability assessment.
- Published
- 2007
24. Single stress liner for both NMOS and PMOS current enhancement by a novel ultimate spacer process
- Author
-
T.F. Chen, D. Gitlin, W.M. Wang, L.Y. Tung, H.L. Meng, C.T. Tsai, S.C. Chiang, B.C. Lan, T.M. Shen, C.H. Tsai, Y.C. Liu, J.W. Pan, D. Nayak, C.J. Lee, W.T. Chang, Y.A. Chen, P.W. Liu, Yuhao Luo, C.H. Tung, L.W. Cheng, H.L. Shih, T.Y. Chang, and M.F. Lu
- Subjects
Stress (mechanics) ,Electron mobility ,Yield (engineering) ,Materials science ,CMOS ,MOSFET ,Electronic engineering ,Current (fluid) ,NMOS logic ,PMOS logic - Abstract
For the first time, 75% and 7% drive current improvement is simultaneously achieved in both N/PMOS by adopting ultimate spacer process (USP) with a single stress liner. High out-of-plane stress in the channel accounts for the simultaneously enhanced drive current in N/PMOS. A 15% speed enhancement without compromising yield and product qualification in field-programmable gate arrays (FPGA) confirms immediate manufacturing feasibility of USP. This process provides a unique approach to significantly enhance device performance for 65nm CMOS technology and beyond. Extreme current increase of 25% in NMOS and 35% in PMOS can be achieved by applying additional strain enhancement methods
- Published
- 2006
25. Electrical Characteristics of CNT-FETs with Symmetric Field-Effect-Free-on Source and Drain
- Author
-
Z.Y. Juang, K.C. Leou, K.S. Chang-Liao, C.H. Tsai, C.H. Weng, C.Y. Lai, and Wei-Yang Lee
- Subjects
Hysteresis ,Materials science ,business.industry ,Schottky barrier ,Optoelectronics ,Schottky diode ,Field effect ,Nanotechnology ,Field-effect transistor ,Substrate (electronics) ,business ,Layer (electronics) ,Carbon nanotube field-effect transistor - Abstract
The carbon-nanotube field-effect-transistors (CNTFETs) have been explored and proposed to be the promising candidates for the next generation integrated-circuit (IC) devices. The so-called Schottky barrier (SB)-FET is widely used to characterize the operation behavior of a CNTFET, and the Schottky barriers are affected by the gate fields at the metal-nanotube interfaces. By using the double-layered catalyst configuration (nickel and upper SiO 2 layer), SWNTs were in-situ grown across two catalytic pads on a substrate with a thinner thermal oxide layer above the channel and thicker ones at the two source/drain junction terminals. The uni-polar characteristics of a p-type CNTFET was consequently achieved by electrostatic engineering. The turn-off current (I off ) was significantly reduced and the turn-on current (I on ) to I off ratio was then increased up to ∼ 104. The p to n conversion was observed after several cycles of measurement in a vacuum environment presumably due to removal of adsorbed O 2 molecules. On the other hand, the hysteresis behavior of transfer characteristics was still observed, suggesting that the CNTFET could be used in non-volatile memory applications.
- Published
- 2006
26. On the roles of multilayered metal catalysts in the synthesis of high-quality single-walled carbon nanotubes
- Author
-
K.C. Leou, C.H. Tsai, H.C. Su, and K.Y. Shin
- Subjects
Auger electron spectroscopy ,Materials science ,Silicon ,Scanning electron microscope ,chemistry.chemical_element ,Nanotechnology ,Substrate (electronics) ,Carbon nanotube ,law.invention ,X-ray photoelectron spectroscopy ,chemistry ,law ,Carbon nanotube supported catalyst ,Silicon oxide - Abstract
In this paper, high quality single-walled carbon nanotubes (SWNTs) were synthesized by multilayered metal catalysts on silicon or silicon oxide substrate using thermal chemical vapor deposition method. The roles of multilayered metal catalyst were investigated by systematically varying the combinations of multilayered structure. Scanning electron microscopy, Atomic force microscopy, Auger electron spectroscopy, and X-ray photoelectron spectroscopy were used to examine the evolution of the morphology and the oxidation state of each constituent of the multilayered structure in the thermal processes and their roles in controlling the formation of SWNTs.
- Published
- 2006
27. 18.3 Superior Current Enhancement in SiGe Channel p-MOSFETs Fabricated on [110] Surface
- Author
-
P.W. Liu, W. Chiang, C.T. Tsai, J. Pan, T. Chang, T.L. Tsai, T. Chen, Y.C. Liu, C.H. Tsai, B. Lan, and Y. Lin
- Subjects
Electron mobility ,Materials science ,business.industry ,MOSFET ,Electrical engineering ,Stress relaxation ,Optoelectronics ,Semiconductor device ,Current (fluid) ,business ,Communication channel - Abstract
The promising potential of (110) SiGe channel as next generation high performance p-MOSFETs is well demonstrated in this work. As high as 48% of drive current enhancement on SiGe channel p-MOSFETs fabricated on (110) surface have been achieved for the first time. In addition, combining with compressive stress capping layer, the (110) SiGe channel p-MOSFETs exhibits an extended 81% Idsat gain with Idsat of 850muA/mum at 100nA/mum Ioff. The 32% larger longitudinal piezoresistance coefficient compared to Si extracted from SiGe channel p-MOSFET reveals the advantage of applying strain in SiGe channel. The 3.3times hole mobility enhancement of (110) SiGe over (100) Si illustrates the advantage of this device architecture
- Published
- 2006
28. Design and fabrication of micro carbon nanotube column for electron-beam lithography
- Author
-
C.H. Tsai, S.C. Tseng, C.P. Wang, and B.C. Yao
- Subjects
Fabrication ,Nanolithography ,Materials science ,law ,Nanotechnology ,Carbon nanotube ,Photolithography ,Lithography ,Electrostatic lens ,Next-generation lithography ,Electron-beam lithography ,law.invention - Abstract
This articles describes the preliminary design and fabrication results of micro carbon-nano-tube (CNT) column for electron-beam lithography. The column consists of gated single vertical aligned CNT electron source and one metal electrostatic lens. The CNT electron source was fabricated by combination of optical lithography, electron-beam writing and inductively coupled plasma chemical vapor deposition (ICP-CVD) growth. The simulated minimum spot size was 80 nm with one metal electrostatic lens for a 40 nm diameter CNT.
- Published
- 2005
29. Laser ablated pyroelectric thin films for room temperature IR sensors
- Author
-
Hui-Ling Wang, Chin-Ming Huang, Hisin-Fung Cheng, I-Nan Lin, Chen-Ti Hu, C.Y. Lin, and C.H. Tsai
- Subjects
Materials science ,Silicon ,business.industry ,Poling ,chemistry.chemical_element ,Dielectric ,Pyroelectricity ,Pulsed laser deposition ,Crystallinity ,chemistry ,Sputtering ,Optoelectronics ,Thin film ,business - Abstract
High quality of Sr/sub 0.5/Ba/sub 0.5/Nb/sub 2/O/sub 6/ (SBN50) and Pb/sub 0.95/La/sub 0.05/Ti/sub 0.9875/O/sub 3/ (PLT5) pyroelectric thin films were successfully deposited on Pt-coated silicon by Pulsed Laser Deposition Technique. In this paper, the effect of deposition conditions on the crystallinity and pyroelectricity of SBN50 and PLT thin films was systematically examined. We have found that, SBN50 films have higher dielectric constant /spl epsilon/r of 928 and larger pyroelectric effect (measured by dynamic voltage response) Rv of 1.8*10/sup 3/ V/W at 25 Hz without a poling treatment. Three different PLT films were compared and the PLT5 films possess superior pyroelectric property ( Rv of 1.2*10/sup 3/ V/W at 10 Hz) to the rest two compositions.
- Published
- 2005
30. Field emission-from arrays of free-standing carbon nanotubes grown by ICP-CVD
- Author
-
C.H. Tsai, S.C. Tseng, S.H. Tsai, B.C. Yao, Y.K. Lee, and K.C. Leou
- Subjects
Nanotube ,Field electron emission ,Materials science ,Scanning electron microscope ,law ,Analytical chemistry ,Cold cathode ,Chemical vapor deposition ,Carbon nanotube ,Cathode ,Electron-beam lithography ,law.invention - Abstract
Carbon nanotubes (CNTs) have been considered as a prime candidate material of cold cathode emitter for field emission (FE) application. No matter whether the cathode assembly is fabricated by screen printing or in-situ chemical vapor deposition (CVD), the strict requirement of high emission uniformity on a large area display panel still remains a great challenge. There have been a large amount of publications demonstrating the ability of growing large area patterned well-aligned multi-walled carbon nanotubes with uniform diameter and height by thermal CVD. The field emission performance however was hampered due to high carbon nanotube density (> 10/sup 8/ cm/sup -2/) and low emission density (/spl sim/10/sup 4/ cm/sup -2/), which is not attributed solely to the electrical field screening effect. In this report, we used electron beam lithography (EBL) followed by metal deposition/lift-off to define the position and size of nickel catalyst and grew CNTs using inductively-coupled plasma (ICP) CVD. The EBL has been proven to be a straight forward method to define an array of catalyst metal dots with designated size and inter-distance. The ICP-CVD has been shown to grow free-standing vertically-aligned CNTs with uniform diameter and height. And then a gripper-type nano-object manipulation assembly inside a scanning electron microscope (SEM) was utilized to measure the field emission from individual carbon nanotube.
- Published
- 2005
31. In-situ post-treatment for field emission improvement of carbon nanofibers in inductively coupled plasma system
- Author
-
H.W. Wei, Y.Y. Lin, Keh-Chyang Leou, C.H. Weng, C.H. Tung, and C.H. Tsai
- Subjects
Field electron emission ,Materials science ,Scanning electron microscope ,Carbon nanofiber ,Nanofiber ,Inductively coupled plasma atomic emission spectroscopy ,Analytical chemistry ,Energy-dispersive X-ray spectroscopy ,Inductively coupled plasma ,Spectroscopy - Abstract
Here we investigate in situ post-treatment of carbon nanofibers in inductively coupled plasma system for field emission improvements. The nanofibers were characterized using SEM, TEM, energy dispersive spectroscopy, micro-Raman spectroscopy and various FE measurements including typical emission current density-electric field (J-E) curves, large-area uniformity of luminance, and long-time stability of emission currents. Certain structural transformations were observed and significantly enhanced FE was achieved. The mechanism of the structural transformations and the corresponding FE enhancement were also investigated.
- Published
- 2004
32. Reducing the overkills and retests in wafer testing process
- Author
-
Shin-Yeu Lin, Wen-Yo Lee, C.H. Tsai, Feng Yi Yang, Mu-Huo Cheng, Shih-Cheng Horng, and Chien-Hung Liu
- Subjects
Ordinal optimization ,Mathematical optimization ,Computational complexity theory ,Artificial neural network ,Computer science ,Stochastic process ,Process (computing) ,Wafer testing ,Stochastic optimization ,Algorithm ,Throughput (business) - Abstract
Reducing overkills is one of the main objectives in the wafer testing process, however the major mean to prevent overkills is retest. In this paper, we formulate the problem of reducing overkills and retests as a stochastic optimization problem to determine optimal threshold values concerning the number of good dies and the number of bins in a lot and wafer to decide whether to go for a retest after a regular wafer probing. The considered stochastic optimization problem is an NP hard problem. We propose an Ordinal Optimization theory based two-level method to solve the problem for good enough threshold values to achieve lesser overkills and retests within a reasonable computational time. Applying to a case based on the true mean of bins of a real semiconductor product, the threshold values we obtained are the best among 1000 sets of randomly generated threshold values in the sense of lesser overkills under a tolerable retest rate.
- Published
- 2003
33. An ICP source with a shape-adjustable coil for ULSI
- Author
-
S.J. Tsai, T.L. Lin, Keh-Chyang Leou, J.H. Che, and C.H. Tsai
- Subjects
Range (particle radiation) ,Materials science ,Optics ,Nuclear magnetic resonance ,business.industry ,Electromagnetic coil ,RF power amplifier ,Turn (geometry) ,Plasma ,Planar coil ,business ,Symmetry (physics) ,Power (physics) - Abstract
Summary form only given. A novel coil design for inductively-coupled plasma (ICP) sources for ULSI processing will be presented. To widen the operation range of processing systems based on ICP high density plasma sources, the coil shape is made adjustable by varying the height of the center post connected to a planar coil. The coil is then essentially shallow-dome-shaped with its height adjustable. As the coil shape changes, the profile of the RF field also changes, which in turn changes the location where the major RF power is deposited. Ideally, one can make use of this extra knob to compensate the changes in plasma uniformity as the system parameters such as gas pressure, RF power or bias power are varied. The other feature of the new coil design is that the coil has two sets of multi-turns winding connected in parallel but 180 degree opposite azimuthally. This arrangement can improve the symmetry of the processing system in azimuth direction which has been shown to be highly dependent on the symmetry of the coil. Initial measurements for a proof-of-principle experiment show that the density profile does change with the coil shape as the gas pressure and RF power are fixed.
- Published
- 2002
34. Operation characteristics of an ICP plasma source
- Author
-
Keh-Chyang Leou, J.H. Li, T.L. Lin, J.L. Tsai, C.H. Tsai, S.C. Pan, and S.L. Wu
- Subjects
Materials science ,business.industry ,RF power amplifier ,Plasma ,Interferometry ,symbols.namesake ,Optics ,symbols ,Langmuir probe ,Plasma diagnostics ,Stimulated emission ,Antenna (radio) ,Inductively coupled plasma ,business - Abstract
Summary form only given, as follows. The operation characteristics of an inductively-coupled plasma source have been measured by several different measurement techniques: Langmuir probe, 36 GHz heterodyne interferometer, optical emission spectroscopy and plasma impedance probe. The Ar plasma is generated by exciting a planar inductive coil with 13.56 MHz RF power which is coupled into the 400 mm diameter plasma chamber through a quartz window. Measurements have been made for two different size of antenna: 150 mm and 270 mm with window sizes 200 mm and 320 mm, respectively. The tip of the Langmuir probe is isolated from the bias and measurement electronics by an inductor to force the probe tip to follow the AC plasma floating potential. The 36 GHz heterodyne interferometer employs two free running Gunn oscillators and the phase change was measured by a 70 MHz quadrature mixer. The measurement results have been used to calibrate the Langmuir probe. The RF power absorbed by the plasma was measured by the plasma impedance probe placed between the antenna and matching network. Spatial variations of optical emission from the plasma for different operation conditions have also been measured by a monochrometer/CCD system with an optical fiber head.
- Published
- 2002
35. A new algorithm for circuit-level electrothermal simulation under EOS/ESD stress
- Author
-
Y.J. Huh, Elyse Rosenbaum, Sung-Mo Kang, Tong Li, and C.H. Tsai
- Subjects
Engineering ,Electrostatic discharge ,business.industry ,Circuit design ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit design ,Electronic circuit simulation ,Human-body model ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Junction temperature ,business ,Algorithm ,NMOS logic ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
Summary form only given. ESD protection circuits are designed to meet certain specifications such as human body model (HBM) voltage. Electrothermal circuit simulation can be of use in protection circuit design. In this work, we propose a new algorithm to evaluate transient device temperatures, such as the drain junction temperature of NMOS devices, so that the electrothermal circuit simulation can be performed accurately. Using the electrothermal circuit simulator, we can examine device heating during HBM testing.
- Published
- 1997
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.