Search

Your search keyword '"Benini, Luca"' showing total 3,316 results

Search Constraints

Start Over You searched for: Author "Benini, Luca" Remove constraint Author: "Benini, Luca"
3,316 results on '"Benini, Luca"'

Search Results

51. TCNCA: Temporal Convolution Network with Chunked Attention for Scalable Sequence Processing

52. MIMONets: Multiple-Input-Multiple-Output Neural Networks Exploiting Computation in Superposition

53. Near-Memory Parallel Indexing and Coalescing: Enabling Highly Efficient Indirect Access for SpMV

54. AXI-REALM: A Lightweight and Modular Interconnect Extension for Traffic Regulation and Monitoring of Heterogeneous Real-Time SoCs

55. PELS: A Lightweight and Flexible Peripheral Event Linking System for Ultra-Low Power IoT Processors

56. Stella Nera: Achieving 161 TOp/s/W with Multiplier-free DNN Acceleration based on Approximate Matrix Multiplication

57. CV32RT: Enabling Fast Interrupt and Context Switching for RISC-V Microcontrollers

58. Ara2: Exploring Single- and Multi-Core Vector Processing with an Efficient RVV 1.0 Compliant Open-Source Processor

59. RapidChiplet: A Toolchain for Rapid Design Space Exploration of Chiplet Architectures

60. Quantitative Evaluation of a Multi-Modal Camera Setup for Fusing Event Data with RGB Images

61. Ultra-Efficient On-Device Object Detection on AI-Integrated Smart Glasses with TinyissimoYOLO

62. Fully Onboard Low-Power Localization with Semantic Sensor Fusion on a Nano-UAV using Floor Plans

63. Enhancing Neural Architecture Search with Multiple Hardware Constraints for Deep Learning Model Deployment on Tiny IoT Devices

64. Trikarenos: A Fault-Tolerant RISC-V-based Microcontroller for CubeSats in 28nm

65. Towards a Novel Ultrasound System Based on Low-Frequency Feature Extraction From a Fully-Printed Flexible Transducer

66. Skilog: A Smart Sensor System for Performance Analysis and Biofeedback in Ski Jumping

67. NanoSLAM: Enabling Fully Onboard SLAM for Tiny Robots

68. Spatz: Clustering Compact RISC-V-Based Vector Units to Maximize Computing Efficiency

69. Enhancing Performance, Calibration Time and Efficiency in Brain-Machine Interfaces through Transfer Learning and Wearable EEG Technology

70. A Wearable Ultra-Low-Power sEMG-Triggered Ultrasound System for Long-Term Muscle Activity Monitoring

71. Fully Onboard SLAM for Distributed Mapping with a Swarm of Nano-Drones

72. OSMOSIS: Enabling Multi-Tenancy in Datacenter SmartNICs

73. Scalable Hierarchical Instruction Cache for Ultra-Low-Power Processors Clusters

75. EpiDeNet: An Energy-Efficient Approach to Seizure Detection for Embedded Systems

76. PATRONoC: Parallel AXI Transport Reducing Overhead for Networks-on-Chip targeting Multi-Accelerator DNN Platforms at the Edge

77. Land & Localize: An Infrastructure-free and Scalable Nano-Drones Swarm with UWB-based Localization

78. Fast Shared-Memory Barrier Synchronization for a 1024-Cores RISC-V Many-Core Cluster

79. Flexible and Fully Quantized Ultra-Lightweight TinyissimoYOLO for Ultra-Low-Power Edge Systems

80. Towards a RISC-V Open Platform for Next-generation Automotive ECUs

81. ITA: An Energy-Efficient Attention and Softmax Accelerator for Quantized Transformers

82. Free Bits: Latency Optimization of Mixed-Precision Quantized Neural Networks on the Edge

83. BioGAP: a 10-Core FP-capable Ultra-Low Power IoT Processor, with Medical-Grade AFE and BLE Connectivity for Wearable Biosignal Processing

84. A 3 TOPS/W RISC-V Parallel Cluster for Inference of Fine-Grain Mixed-Precision Quantized Neural Networks

85. A Survey on Deep Learning Hardware Accelerators for Heterogeneous HPC Platforms

86. ControlPULP: A RISC-V On-Chip Parallel Power Controller for Many-Core HPC Processors with FPGA-Based Hardware-In-The-Loop Power and Thermal Emulation

87. Energy-efficient Wearable-to-Mobile Offload of ML Inference for PPG-based Heart-Rate Estimation

88. Precision-aware Latency and Energy Balancing on Multi-Accelerator Platforms for DNN Inference

89. Reduced Precision Floating-Point Optimization for Deep Neural Network On-Device Learning on MicroControllers

90. ColibriUAV: An Ultra-Fast, Energy-Efficient Neuromorphic Edge Processing UAV-Platform with Event-Based and Frame-Based Cameras

91. A Fast and Accurate Optical Flow Camera for Resource-Constrained Edge Applications

92. Parallelizing Optical Flow Estimation on an Ultra-Low Power RISC-V Cluster for Nano-UAV Navigation

93. FlooNoC: A Multi-Tbps Wide NoC for Heterogeneous AXI4 Traffic

94. Marsellus: A Heterogeneous RISC-V AI-IoT End-Node SoC with 2-to-8b DNN Acceleration and 30%-Boost Adaptive Body Biasing

95. Echoes: a 200 GOPS/W Frequency Domain SoC with FFT Processor and I2S DSP for Flexible Data Acquisition from Microphone Arrays

96. A Data-Driven Approach to Lightweight DVFS-Aware Counter-Based Power Modeling for Heterogeneous Platforms

97. A High-performance, Energy-efficient Modular DMA Engine Architecture

98. Sparse Stream Semantic Registers: A Lightweight ISA Extension Accelerating General Sparse Linear Algebra

99. Cheshire: A Lightweight, Linux-Capable RISC-V Host Platform for Domain-Specific Accelerator Plug-In

100. SALSA: Simulated Annealing based Loop-Ordering Scheduler for DNN Accelerators

Catalog

Books, media, physical & digital resources