28 results on '"Claire van Lare"'
Search Results
2. Overview of stitching for high NA: imaging and overlay experimental and simulation results
- Author
-
Natalia V. Davydova, Lieve van Look, Vincent Wiaux, Joost Bekaert, Frank Timmermans, Eelco van Setten, Bram Slachter, Laura L. Huddleston, Claire van Lare, Rongkuo Zhao, Dezheng Sun, Ming-Chun Tien, Marcel Beckers, Simon van Gorp, Cees Lambregts, Chung-Tien Li, Arthur van de Nes, Koen D'Havé, Tatiana Kovalevich, Diederik de Bruin, Stephen Hsu, and Rene Carpaij
- Published
- 2023
3. Low-n mask progressing insights: focus on isolated features
- Author
-
Claire van Lare, Jo Finders, and Tasja van Rhee
- Published
- 2022
4. High-NA EUV imaging: the quest for resolution, depth-of-focus, and productivity
- Author
-
Eelco van Setten, Sofia Leitao, Claire van Lare, Jan van Schoot, Jo Finders, and Kaustuve Bhattacharyya
- Published
- 2022
5. EUV mask absorber induced best focus shifts
- Author
-
Hazem Mesilhy, Peter Evanschitzky, Gerardo Bottiglieri, Eelco van Setten, Claire van Lare, Tim Brunner, Mark van de Kerkhof, and Andreas Erdmann
- Published
- 2022
6. The EUV mask as a system: function breakdown and interface description
- Author
-
Jo Finders, Claire van Lare, D.S. Nam, Par Broman, Eelco van Setten, Frank de Lange, and Frank Timmermans
- Published
- 2022
7. Optimizations aspects for EUV low-k1 logic with the low-n mask
- Author
-
M.-Claire van Lare, Jo Finders, and Stephen Hsu
- Subjects
Diffraction ,Optics ,Computational lithography ,Computer science ,business.industry ,Extreme ultraviolet lithography ,Retargeting ,Phase (waves) ,Focus (optics) ,business - Abstract
Experimental results demonstrated that the EUV low-n mask improves both LCDU and dose for dense features. For semi-isolated and isolated features best-focus shifts through pitch can occur with the low-n mask as well as with the Tabased mask. In this paper, we show how mask-3D induced phase offsets between diffraction orders lead to best focus shifts for dense and (semi-) isolated features. Subsequently we investigate what are the options to mitigate best focus shifts. First, when the pitch is large enough, sub-resolution assist features (SRAFs) can significantly improve contrast and reduce best-focus shifts. Second, optimizing the target and mask bias, is very effective for focus-shift mitigation. Especially the low-n mask shows a strong response to target and mask bias. Third, using bright field imaging instead of dark-field imaging generally also results in smaller best focus shifts through pitch. Using source-mask optimization (SMO) examples through pitch, we show how these mitigation strategies are effectively applied to through pitch use cases. We find NILS DOF improvements up to 65% with the low-n mask and optimized retargeting.
- Published
- 2021
8. Pathfinding the perfect EUV mask: understanding the EUV mask using the hybrid mask model
- Author
-
Eelco van Setten, Gerardo Bottiglieri, Andreas Erdmann, Hazem Mesilhy, Peter Evanschitzky, Claire van Lare, Mark van de Kerkhof, and Tim Brunner
- Subjects
Diffraction ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Phase (waves) ,Ray ,law.invention ,Optics ,law ,Reflection (physics) ,Phase-shift mask ,business ,Refractive index ,Waveguide - Abstract
We employ the hybrid mask model of the Fraunhofer IISB simulator Dr.LiTHO to investigate the role of the absorber and multilayer for the observed image blur and contrast loss mechanisms. Hybrid mask model decomposes the EUV mask into the absorber and multilayer part. Both parts can be described by real 3D objects or idealized 2D objects. An idealized absorber is specified by the transmission tr and phase of the transmitted light. An idealized multilayer mirror is characterized by a certain reflectivity value, by an angular range or bandwidth (BW) where the light is reflected and by a distance Zeff from the absorber. The diffraction of the incident light by the absorber, the reflection of the diffracted light by the multilayer mirror, and the second diffraction of the reflected light by the absorber are combined by transfer matrices. The obtained superposed diffraction orders provide characteristic diffraction efficiencies and phase values of discrete orders. The presented analysis of the light diffraction from EUV mask and resulting imaging metrics provides several novel insights into the root causes of M3D effects in high NA EUV imaging. The combination of double diffraction by an ideal (thin) absorber and reflection by an ideal (thin) multilayer with a limited reflectivity range (BW
- Published
- 2021
9. High-NA EUV imaging: from system introduction towards low-k1 extension
- Author
-
Bartosz Bilski, Anton van Oosten, Paul Graeupner, Jan van Schoot, Claire van Lare, Joerg Zimmermann, Eelco van Setten, Friso Wittebrood, Jo Finders, Natalia Davydova, Gerardo Bottiglieri, John McNamara, and Gijsbert Rispens
- Subjects
Scanner ,Stack (abstract data type) ,Computer science ,Feature (computer vision) ,business.industry ,Extreme ultraviolet lithography ,Extension (predicate logic) ,Focus (optics) ,business ,Throughput (business) ,Dram ,Computer hardware - Abstract
To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with 0.55NA that enables 8nm HP resolution and a high throughput. In this paper we will discuss the imaging performance and technology solutions to support our customers device roadmap from High-NA insertion towards low-k1 extension for critical Logic/MPU and DRAM layers. We will address various technology solutions that enable a high contrast through focus for decreasing feature size, such as mask stack optimization, computational litho solutions and advanced illumination shapes.
- Published
- 2021
10. Investigation into a prototype extreme ultraviolet low-n attenuated phase-shift mask
- Author
-
Cheuk-Wah Man, Paul van Adrichem, Yohei Ikebe, Claire van Lare, Frank Timmermans, Jo Finders, Takahiro Onoue, Olena Romanets, and Takeshi Aizawa
- Subjects
Diffraction ,Materials science ,business.industry ,media_common.quotation_subject ,Optics ,Extreme ultraviolet ,Contrast (vision) ,Phase-shift mask ,Dose reduction ,Photomask ,business ,Critical dimension ,Exposure latitude ,media_common - Abstract
The low-n attenuated phase-shift mask can strongly improve extreme ultraviolet imaging performance; it enhances contrast by mask 3D mitigation and a phase-shift effect while simultaneously reducing the required exposure dose. The latter happens because the low-n mask gives optimum contrast at more open mask bias values than its Ta-based counterpart. Here, we experimentally verify the imaging physics of the low-n mask. We show that optimum exposure latitude (EL) with the low-n mask is obtained at more open mask bias values compared to the Ta-based reference mask. This leads to dose reductions exceeding 30% for pitch 38-nm regular contact holes (CHs). Initial local critical dimension uniformity (LCDU) data for hexagonal CHs pitch 38 and 40 nm show 15% LCDU improvement with the low-n mask compared to the Ta-based reference. A 16-nm dense lines show a substantial EL increase and dose reduction with the low-n mask compared to the Ta-based case; this can be even further improved by combining the novel mask absorber with asymmetric illumination. As the low-n masks studied here have absolute reflectivities in the range 8% to 15%, side-lobe printing should be carefully monitored. Initial experimental data for pitch 120-nm CHs and simulations on P32 metal clips, show no signs of side-lobe printing. Careful monitoring of stochastic side-lobe printing for various use cases is recommended.
- Published
- 2021
11. Investigation of waveguide modes in EUV mask absorbers
- Author
-
Claire van Lare, Gerardo Bottiglieri, Peter Evanschitzky, Andreas Erdmann, Hazem Mesilhy, Eelco van Setten, and Publica
- Subjects
Physics ,Diffraction ,Waveguide (electromagnetism) ,business.industry ,Extreme ultraviolet lithography ,Phase (waves) ,Physics::Optics ,Near and far field ,Optics ,Extreme ultraviolet ,Physics::Space Physics ,Astrophysics::Solar and Stellar Astrophysics ,Photomask ,business ,Refractive index - Abstract
Background: Explaining imaging phenomena in EUV lithography requires more than a single point of view. Traditionally, the diffraction characteristics of EUV masks are analyzed in terms of the amplitude and phase of diffraction orders that are generated by the absorber pattern. Aim: We propose a complementary perspective to view the EUV mask absorber openings as waveguides. Approach: Comparisons between RCWA simulations and analytical solutions to waveguide equations are performed to prove that EUV mask absorbers behave as a waveguide. Results: This perspective can explain phenomena left unexplained by conventional analysis of far-field diffraction orders. Conclusions: The waveguiding effect in EUV mask absorbers explains the need for low refractive index and high extinction materials. The waveguide perspective explains why attenuated phase shift masks behave differently for EUV than our traditional understanding would suggest.
- Published
- 2021
12. Fundamental understanding and experimental verification of bright versus dark field imaging
- Author
-
Andreas Frommhold, Natalia Davydova, Grizelda Kersteen, Joseph Zekry, John McNamara, Renzo Capelli, Timon Fliervoet, Rene Carpaij, Eelco van Setten, Claire van Lare, Joern-Holger Franke, Jo Finders, and Andreas Verch
- Subjects
Physics ,Scanner ,Depth of focus ,Optics ,Resist ,Field (physics) ,business.industry ,Extreme ultraviolet lithography ,business ,Dark field microscopy ,Lithography ,Aerial image - Abstract
DUV lithography has successfully adopted both bright and dark mask tonalities. This gives the freedom to chip manufacturers to choose the optimum combination of mask and resist tonality for their product [1]. In EUV lithography, however, there has been a clear preference for dark field masks, driven by the prevalence of positive tone resist processes, and their relative insensitivity to multilayer defects. Future customer nodes, however, may require negative tone (metal-oxide) resist processes [2][3], resulting in a requirement to use bright field masks. Therefore, a deeper understanding of bright and dark field imaging is needed in order to provide guidance to ASML customers in choosing the optimal approach. In this work we consider the fundamentals of bright and dark field imaging based on the diffraction theory of aerial image formation [4]. We will show that bright field imaging has an intrinsic potential for higher optical NILS (normalized image log-slope), especially for isolated features, but with a lower depth of focus. The theoretical results are compared to rigorous simulations. Experimental bright vs dark-field results is also presented for comparison. Wafer based data has been obtained on an NXE:3400 scanner, whilst aerial image measurements have been obtained using the Aerial Image Measurement System for EUV (AIMS® EUV) at Zeiss. These experimental results confirm the theoretical expectations. The main goal of the paper is to draw attention to bright versus dark field comparison for EUV and to kick off more studies in this direction.
- Published
- 2020
13. EUV phase shift mask requirements for imaging at low-k1
- Author
-
Jo Finders, Frank Timmermans, Claire van Lare, and Robert de Kruif
- Subjects
Optics ,Materials science ,Optical contrast ,business.industry ,media_common.quotation_subject ,Extreme ultraviolet lithography ,Phase-shift mask ,Contrast (vision) ,business ,Image contrast ,media_common - Abstract
To enable failure-free process windows with EUV lithography a sufficiently high optical contrast is required. For decreasing pitch the contrast requirements may only be achieved with advanced masks such as, high-k and attenuated PSM. While manufacturing high-k absorbers requires effort, the imaging theory is straightforward. Studies to the PSM have shown even better image contrast, at the cost of increased complexity of the imaging theory. The strong presence of M3D effects with EUV drives the attenuated PSM imaging physics in a different direction than for DUV. We report on the investigation of the expected requirements and capabilities of the PSM
- Published
- 2020
14. Extending EUV lithography for DRAM applications
- Author
-
Ziyang Wang, Mark John Maslow, Claire van Lare, Nadia Zuurbier, Andreas Frommhold, Rik Hoefnagels, Jo Finders, Eric Hendrickx, Zoi Dardani, Shih-Hsiang Liu, Scott L. Light, Ardavan Niroomand, Roberto Fallica, Willem van Mierlo, Dorothe Oorschot, and Gijsbert Rispens
- Subjects
Improved performance ,Materials science ,Resist ,Hexagonal crystal system ,business.industry ,Extreme ultraviolet lithography ,Process (computing) ,Optoelectronics ,Experimental validation ,business ,Dram - Abstract
In this paper we show experimental verification of the feasibility of printing pitch 40x70nm hexagonal holes using EUV single patterning. We show that at a local CDU (LCDU) of 2.7nm and an exposure dose of 54 mJ/cm2 a defect rate smaller than 7x10-9 is observed. This result was enabled by optimization of the illumination source and improvements in the resist. Resist selection identified multiple candidates that show a promising LCDU performance and optimization of the processing conditions resulted in improved performance. Experimental validation of the defect performance was done using HMI eP5 on the baseline process. Assessment of the LCDU performance for EUV single expose at pitches beyond 40x70nm, showed promising results.
- Published
- 2020
15. Alternative reticles for low-k1 EUV imaging
- Author
-
Jo Finders, Frank Timmermans, and M.-Claire van Lare
- Subjects
Diffraction ,Scanner ,Materials science ,Optics ,Optical contrast ,business.industry ,Extreme ultraviolet lithography ,Reticle ,Fading ,business ,Dram - Abstract
Alternative reticles have the potential to improve EPE for low-k1 EUV lithography on multiple aspects, by reducing mask 3D effects and improving optical contrast. We study the application of high-k masks and attenuated phase-shift masks at diffraction level and show that mitigation of mask 3D effects, such as contrast fading, is crucial for both good performance of both alternative-reticle types. We present optimum embodiments for both mask types. We find that the optimum attenuated phase-shift mask (PSM) results in a phase shift of 1.2 π. The extra 0.2 π phase shift required for the EUV mask compared to its DUV counterpart is needed to compensate the strong mask 3D effects; the 1.2 π phase shift is crucial for good performance at small pitch and was found for all 3 materials studied in this work: Ru, Pd, and Mo. We show that our Rubased attenuated PSM embodiment results in a strong gain in normalized image log slope (NILS).
- Published
- 2019
16. Alternative mask materials for low-k1 EUV imaging
- Author
-
Frank Timmermans, Jo Finders, and Claire van Lare
- Subjects
symbols.namesake ,Materials science ,Optics ,Stack (abstract data type) ,business.industry ,Extreme ultraviolet lithography ,symbols ,Reticle ,Rayleigh scattering ,business ,Diffraction spectrum ,Image contrast - Abstract
EUV lithography is being used at relatively high-k1 Rayleigh factors. Advancing EUV to smaller resolution requires several technological advancements. The EUV reticle is a strong contributor that limits current EUV imaging performance. Improvements with advanced mask types are required to reduce mask 3D effects and to improve image contrast. This will enable low-k1 resolution with reduced stochastic defect rates. In this paper we discuss what the requirements of high-k absorber masks and attenuated phase shift masks are to achieve optimal imaging performance. Recommendations on the mask stack composition and the application of mask types to different use cases are based on the physical understanding of the mask diffraction spectrum.
- Published
- 2019
17. Mask-absorber optimization: the next phase
- Author
-
Jo Finders, Claire van Lare, and Frank Timmermans
- Subjects
Materials science ,business.industry ,Mechanical Engineering ,Extreme ultraviolet lithography ,Phase (waves) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Nanoimprint lithography ,law.invention ,010309 optics ,Optics ,law ,Extreme ultraviolet ,0103 physical sciences ,Phase-shift mask ,Electrical and Electronic Engineering ,Photomask ,0210 nano-technology ,business ,Refractive index ,Lithography - Abstract
We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate that the model is in good agreement with 3D rigorous simulations. Using the model, we explain why the previously demonstrated phase shift close to 1.2π works optimally for EUV imaging. We show a low refractive index mask absorber (n
- Published
- 2020
18. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells
- Author
-
Claire van Lare, Albert Polman, F.O. Lenzmann, and Marc A. Verschuuren
- Subjects
Silicon ,Materials science ,Mie scattering ,Physics::Optics ,Bioengineering ,Dielectric ,Quantum dot solar cell ,Light scattering ,Condensed Matter::Materials Science ,Electric Power Supplies ,Solar Energy ,Nanotechnology ,Scattering, Radiation ,General Materials Science ,Plasmonic solar cell ,Theory of solar cells ,business.industry ,Scattering ,Mechanical Engineering ,General Chemistry ,Condensed Matter Physics ,Nanostructures ,Sunlight ,Optoelectronics ,Quantum efficiency ,Zinc Oxide ,business ,Aluminum - Abstract
We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.
- Published
- 2015
19. Optimized Scattering Power Spectral Density of Photovoltaic Light-Trapping Patterns
- Author
-
M. Claire van Lare and Albert Polman
- Subjects
Physics ,Theory of solar cells ,business.industry ,Scattering ,Photovoltaic system ,Spectral density ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,Optics ,Stack (abstract data type) ,law ,Dispersion relation ,Solar cell ,Spatial frequency ,Electrical and Electronic Engineering ,business ,Biotechnology - Abstract
We present a generic approach for the optimization of light-trapping patterns for thin-film solar cells. The optimization is based on tailoring the spatial frequencies in the light-trapping pattern to the waveguide modes supported by the thin-film solar cell stack. We calculate the dispersion relations for waveguide modes in thin-film Si solar cells and use them to define the required spatial frequency band for light trapping. We use a Monte Carlo algorithm to optimize the scattering power spectral density (PSD) of a random array of Mie scatterers on top of a-Si:H cells. The optimized particle array has a PSD that is larger in the desired spatial frequency range than the PSD of a random array and contains contributions at more spatial frequencies than the PSD of a periodic array. Three-dimensional finite-difference time-domain simulations on thin-film solar cells with different light-trapping patterns show that the optimized particle array results in more efficient light trapping than a random array of Mi...
- Published
- 2015
20. Solar Cells: Optoelectronic Enhancement of Ultrathin CuIn1-x Gax Se2 Solar Cells by Nanophotonic Contacts (Advanced Optical Materials 5/2017)
- Author
-
Martina Schmid, Guanchao Yin, Maria Magdalena Solà Garcia, Albert Polman, Mark W. Knight, and Marie-Claire van Lare
- Subjects
Materials science ,business.industry ,Optical materials ,Nanophotonics ,Optoelectronics ,Hybrid solar cell ,Plasmonic solar cell ,Quantum dot solar cell ,business ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials - Published
- 2017
21. Optoelectronic Enhancement of Ultrathin CuIn1-xGaxSe2 Solar Cells by Nanophotonic Contacts
- Author
-
Guanchao Yin, Marie-Claire van Lare, Martina Schmid, Albert Polman, Mark W. Knight, and Maria Magdalena Solà Garcia
- Subjects
Materials science ,dielectric nanopatterns ,Nanophotonics ,Nanoparticle ,chemistry.chemical_element ,02 engineering and technology ,01 natural sciences ,ultrathin Cu(In,Ga)Se2 solar cells ,0103 physical sciences ,010302 applied physics ,business.industry ,Material consumption ,back barrier reduction ,021001 nanoscience & nanotechnology ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,absorption enhancement ,chemistry ,Antireflection coating ,Optoelectronics ,light trapping ,0210 nano-technology ,business ,Current density ,Layer (electronics) ,Indium ,Voltage - Abstract
CuIn1–xGaxSe2 (CIGSe) solar cells have achieved record efficiency values as high as 22.6% for small areas, with module efficiency values of 16.5%. However, for economic viability these values must be achieved with reduced material consumption (especially indium), which requires reducing the CIGSe absorber thickness from 2000–3000 nm to below 500 nm. Soft-imprinted SiOx nanoparticles (NPs) beneath a conformal CIGSe layer enable this thickness reduction. Optically, they enhance the absorption of light through Fabry–Perot and waveguided resonances within the CIGSe layer, preventing current loss. For CIGSe solar cells on ITO with an absorber thickness of only 390 nm and a nanophotonic contact the current density (Jsc) increases from 25.7 to 32.1 mA cm−2. At the same time, the nanopatterned contact reduces the back barrier, leading to an increased open-circuit voltage (518 to 558 mV) and fill factor (50.7% to 55.2%). Combined, these effects increase the efficiency value from 6.8% to 10.0% for this initial demonstration. With the addition of an antireflection coating, the champion NP-enhanced cell achieves a Jsc of 34.0 mA cm−2, corresponding to 93% of the Jsc achieved by the thick world-record cell. This result shows that optoelectronic nanopatterning provides a path to high efficiency cells with reduced materials consumption.
- Published
- 2016
22. Stochastics in extreme ultraviolet lithography: investigating the role of microscopic resist properties for metal-oxide-based resists
- Author
-
Ruben Maas, Sander Frederik Wuister, M.-Claire van Lare, and Gijsbert Rispens
- Subjects
Materials science ,business.industry ,Mechanical Engineering ,Extreme ultraviolet lithography ,Monte Carlo method ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,010309 optics ,Resist ,Extreme ultraviolet ,0103 physical sciences ,Optoelectronics ,Granularity ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Critical dimension ,Lithography ,Scaling - Abstract
Due to the high energy of extreme ultraviolet (EUV) photons, stochastic effects become more important at a constant dose when compared with deep ultraviolet exposures. Photoresists are used to transfer information from the aerial image into physical features and play an important role in the transduction of these stochastic effects. Recently, metal-oxide-based nonchemically amplified resists (non-CARs) have attracted a lot of attention. We study how the properties of these non-CARs impact the local critical dimension uniformity (LCDU) of a regular contact hole array printed with EUV lithography using Monte Carlo simulations and an analytical model. We benchmark both the simulations and the analytical model to experimental data, and then use the flexibility of both methods to systematically investigate the role of microscopic resist properties in the final LCDU. It is found that metal-oxide clusters should be
- Published
- 2018
23. Light Coupling and Trapping in Ultrathin Cu(In,Ga)Se2 Solar Cells Using Dielectric Scattering Patterns
- Author
-
Martina Schmid, Albert Polman, Guanchao Yin, and Claire van Lare
- Subjects
Photocurrent ,Materials science ,Scattering ,business.industry ,General Engineering ,General Physics and Astronomy ,Dielectric ,Substrate (electronics) ,Light scattering ,Active layer ,Optoelectronics ,General Materials Science ,Quantum efficiency ,business ,Absorption (electromagnetic radiation) - Abstract
We experimentally demonstrate photocurrent enhancement in ultrathin Cu(In,Ga)Se2 (CIGSe) solar cells with absorber layers of 460 nm by nanoscale dielectric light scattering patterns printed by substrate conformal imprint lithography. We show that patterning the front side of the device with TiO2 nanoparticle arrays results in a small photocurrent enhancement in almost the entire 400-1200 nm spectral range due to enhanced light coupling into the cell. Three-dimensional finite-difference time-domain simulations are in good agreement with external quantum efficiency measurements. Patterning the Mo/CIGSe back interface using SiO2 nanoparticles leads to strongly enhanced light trapping, increasing the efficiency from 11.1% for a flat to 12.3% for a patterned cell. Simulations show that optimizing the array geometry could further improve light trapping. Including nanoparticles at the Mo/CIGSe interface leads to substantially reduced parasitic absorption in the Mo back contact. Parasitic absorption in the back contact can be further reduced by fabricating CIGSe cells on top of a SiO2-patterned In2O3:Sn (ITO) back contact. Simulations show that these semitransparent cells have similar spectrally averaged reflection and absorption in the CIGSe active layer as a Mo-based patterned cell, demonstrating that the absorption losses in the Mo can be partially turned into transmission through the semitransparent geometry.
- Published
- 2015
24. Conformal plasmonic a-Si:H solar cells with non-periodic light trapping patterns
- Author
-
Albert Polman, Vivian E. Ferry, Marc A. Verschuuren, Harry A. Atwater, Claire van Lare, and Ruud E. I. Schropp
- Subjects
Photocurrent ,Nanostructure ,Optics ,Materials science ,business.industry ,Open-circuit voltage ,Photovoltaics ,Photoconductivity ,Optoelectronics ,Plasmonic solar cell ,business ,Absorption (electromagnetic radiation) ,Plasmon - Abstract
Light trapping via plasmonic nanostructures has emerged as a novel method for guiding and confining light in nanoscale photovoltaics. In our design, the metal nanostructures are built directly into the back contact of an a-Si:H device, such that the large scattering cross section of the plasmonic particles couples incident sunlight into localized and guided modes overlapping with the a-Si:H layer. This enables the use of ultrathin absorbing layers, which are attractive for cost and stability as well as higher open circuit voltages. Here we show that electromagnetic simulation can be used to accurately simulate nanopatterned solar cells, including for randomly textured and non-periodic patterns. We also show that non-periodic arrangements of plasmonic nanostructures are promising for enhancing photocurrent in ultrathin film a-Si:H solar cells.
- Published
- 2011
25. Plasmonic anti-reflection coating for thin film solar cells
- Author
-
Maarten Hebbink, Marc A. Verschuuren, René de Waele, Albert Polman, Pierpaolo Spinelli, and Claire van Lare
- Subjects
Materials science ,Silicon ,business.industry ,Photovoltaic system ,chemistry.chemical_element ,engineering.material ,Light scattering ,Polymer solar cell ,Coating ,chemistry ,engineering ,Optoelectronics ,Plasmonic solar cell ,Thin film ,business ,Layer (electronics) - Abstract
We study plasmonic nanoparticle arrays on top of silicon solar cells for efficient light coupling. An optimized array combined with a Si3N4spacer layer shows 8% more incoupling than the standard Si3N4antireflection coating.
- Published
- 2010
26. Dielectric Scattering Patterns for Efficient LightTrapping in Thin-Film Solar Cells.
- Author
-
Claire van Lare, Frank Lenzmann, Marc A. Verschuuren, and Albert Polman
- Subjects
- *
SCATTERING (Physics) , *THIN-film circuits , *SOLAR cells , *OHMIC contacts , *PERMITTIVITY , *LITHOGRAPHY - Abstract
Wedemonstrate an effective light trapping geometry for thin-film solarcells that is composed of dielectric light scattering nanocavitiesat the interface between the metal back contact and the semiconductorabsorber layer. The geometry is based on resonant Mie scattering.It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns,and the dielectric scatterers are well compatible with nearly alltypes of thin-film solar cells, including cells produced using hightemperature processes. The external quantum efficiency of thin-filma-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, madeusing soft imprint lithography, is strongly enhanced in the 550–800nm spectral band by the dielectric nanoscatterers. Numerical simulationsare in good agreement with experimental data and show that resonantlight scattering from both the AZO nanostructures and the embeddedSi nanostructures are important. The results are generic and can beapplied on nearly all thin-film solar cells. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
27. Optimized Spatial Correlations for Broadband Light Trapping Nanopatterns in High Efficiency Ultrathin Film a-Si:H Solar Cells.
- Author
-
Vivian E. Ferry, Marc A. Verschuuren, M. Claire van Lare, Ruud E. I. Schropp, Harry A. Atwater, and Albert Polman
- Published
- 2011
- Full Text
- View/download PDF
28. Investigation into a prototype EUV attenuated phase-shift mask
- Author
-
Paul van Adrichem, Jo Finders, Frank Timmermans, Cheuk-Wah Man, Takahiro Onoue, Olena Romanets, Claire van Lare, Takeshi Aizawa, and Yohei Ikebe
- Subjects
Diffraction ,Optics ,Materials science ,Optical contrast ,business.industry ,Extreme ultraviolet lithography ,Phase-shift mask ,business ,Diffraction order ,Lithography - Abstract
Simulations on attenuated phase-shift masks (att PSM) for EUV have shown that these novel mask absorbers can strongly boost optical contrast. The optimum EUV imaging mask does not only need to balance the diffraction order amplitudes (as in DUV imaging), it also needs to mitigate the strong mask 3D effects that are present in EUV lithography. The latter is very important and strongly relies on material properties. Here, we present an overall progress update on our att PSM work, including the first experimental lithography results on an EUV att PSM test mask and guidelines needed for optimum performance from diffraction point of view.
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.