51 results on '"Mickael Gros-Jean"'
Search Results
2. P-Type Niox Ultra-Thin Film as Highly Efficient Holes Extraction Layer in N-Type Pbs Quantum Dots Based Nir Photodiode
- Author
-
Louis David MOHGOUK ZOUKNAK, Mickael GROS-JEAN, Serge BLONKOWSKI, Charles Leroux, and Gérard Ghibaudo
- Published
- 2023
3. Highly Microcrystalline Phosphorous‐Doped Si:H Very Thin Films Deposited by RF‐PECVD
- Author
-
Alestair Wilson, Erwann Fourmond, Bilel Saidi, Benjamin Fornacciari, Solène Brottet, Marc Juhel, and Mickael Gros-Jean
- Subjects
Materials Chemistry ,Surfaces and Interfaces ,Electrical and Electronic Engineering ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Published
- 2022
4. Correlative analysis of embedded silicon interface passivation by Kelvin probe force microscopy and corona oxide characterization of semiconductor
- Author
-
Łukasz Borowik, Valentin Aubriet, Mickael Gros-Jean, and Kristell Courouble
- Subjects
Kelvin probe force microscope ,Materials science ,Passivation ,Silicon ,business.industry ,Surface photovoltage ,Oxide ,Field effect ,chemistry.chemical_element ,chemistry.chemical_compound ,Band bending ,Semiconductor ,chemistry ,Stack (abstract data type) ,Microscopy ,Optoelectronics ,business ,Instrumentation - Abstract
We report a correlative analysis between corona oxide characterization of semiconductor (COCOS) and Kelvin probe force microscopy (KPFM) for the study of embedded silicon-oxide interfaces in the field of chemical and field-effect passivation. Analyzed parameters by these measurements are linked to different factors and specifically to defects density of embedded silicon-dielectric interfaces, surface band bending or the distribution of charges in the nearest surface volume.Furthermore, this COCOS-KPFM correlative analysis turns out to be a useful method to access to chemical and field-effect passivation. We confirm that it is possible to differentiate the influence of local band bending on sample passivation (i.e. field effect passivation) from the effects due to the local recombination rates (i.e. chemical passivation).The measurements were carried on five different passivation layers, precisely, 10.5 nm-thick SiO2, 50 nm-thick SiN, 7nm-thick Al2O3, 7 nm-thick HfO2 and double layer of 7 nm-thick Al2O3 below 53 nm-thick Ta2O5. This correlative analysis indicates that HfO2 present to be the best chemical passivation and SiN is the worst case in term of field effect passivation for p-type silicon. Additionally, we confirm that Ta2O5 layer on top of Al2O3 increase the defects density.
- Published
- 2021
5. Ferroelectric HfO2 for Memory Applications: Impact of Si Doping Technique and Bias Pulse Engineering on Switching Performance
- Author
-
Philippe Boivin, Jean-Paul Barnes, Jean Coignus, Mickael Gros-Jean, S. Jeannot, F. Gaillard, I. Bottala-Gambetta, Nicolas Vaxelaire, Laurent Grenouillet, J. Ferrand, Etienne Nowak, T. Francois, Marc Bocquet, P. Chiquet, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut des Matériaux, de Microélectronique et des Nanosciences de Provence (IM2NP), Aix Marseille Université (AMU)-Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS), and Université de Toulon (UTLN)-Centre National de la Recherche Scientifique (CNRS)-Aix Marseille Université (AMU)
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,02 engineering and technology ,01 natural sciences ,memory ,Atomic layer deposition ,0103 physical sciences ,component ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,HfO2 ,010302 applied physics ,endurance ,FeRAM ,business.industry ,Doping ,021001 nanoscience & nanotechnology ,Polarization (waves) ,Ferroelectricity ,Ion implantation ,chemistry ,Ferroelectric RAM ,Optoelectronics ,0210 nano-technology ,business ,Tin - Abstract
International audience; A clear comparison between Atomic Layer Deposition and Ion Implantation Si doping techniques is established. Comparable remnant polarization and coercive fields are obtained at lower Si content (%Si) for Ion Implantation, with a slight decrease of endurance performance. Switching signal engineering demonstrates a wide range of performance achievable with HfO2:Si ferroelectric layer.
- Published
- 2019
6. Hydrogen passivation of silicium/silicium oxide interface by atomic layer deposited Hafnium Oxide and impact of silicon oxide underlayer
- Author
-
Evan Oudot, Romain Duru, Kristell Courouble, Mickael Gros-Jean, François Bertin, Christophe Vallée, Névine Rochat, STMicroelectronics [Crolles] (ST-CROLLES), Laboratoire des technologies de la microélectronique (LTM ), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
010302 applied physics ,[PHYS]Physics [physics] ,Materials science ,Hydrogen ,Silicon ,Passivation ,Oxide ,chemistry.chemical_element ,Field effect ,02 engineering and technology ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Surfaces, Coatings and Films ,Atomic layer deposition ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,0103 physical sciences ,0210 nano-technology ,Silicon oxide ,Layer (electronics) ,ComputingMilieux_MISCELLANEOUS - Abstract
International audience; HfO2 synthesized by atomic layer deposition (ALD) can be used as a passivation material for photodetectors. This paper shows a significant reduction of density of interface traps at the Si/SiO2 interface using ALD HfO2. This is explained by a chemical passivation effect due to presence of hydrogen from water used in the ALD process. Furthermore, ALD HfO2 layers appear negatively charged which generate an additional field effect passivation. The impact of the SiO2 underlayer is also discussed by comparing a chemical silicon oxide to a standard thermal silicon oxide. It is shown that chemical silicon oxide can act as a reservoir of hydrogen atoms which helps to reduce the density of defects close to the Si/SiO2 interface. This result demonstrates the importance of the surface preparation before the ALD of HfO2 in the passivation scheme. Finally, this work shows the correlation between negatively charged defects and Si–O–Hf bonds at the SiO2/HfO2 interface. A passivation stack composed of chemical oxide permits to reach both a low density of interface traps (∼1.0 × $10^{11}$ $cm^{−2}$$eV^{−1}$) and a negative charge density (∼−1.0 × $10^{11}$ $cm^{−2}$). This stack provides both chemical and field effect passivation of the silicon surface.
- Published
- 2018
7. Study of the La-related dipole in TiN/LaOx/HfSiON/SiON/Si gate stacks using hard X-ray photoelectron spectroscopy and backside medium energy ion scattering
- Author
-
F. Bertin, Olivier Renault, C. Dubourdieu, Blanka Detlefs, F. Pierre, Eugénie Martinez, R. Boujamaa, S. Baudot, Mickael Gros-Jean, Jörg Zegenhagen, Laboratoire des matériaux et du génie physique (LMGP ), Institut National Polytechnique de Grenoble (INPG)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Quantronics Group (QUANTRONICS), Service de physique de l'état condensé (SPEC - UMR3680), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Paris-Saclay-Centre National de la Recherche Scientifique (CNRS)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Paris-Saclay-Centre National de la Recherche Scientifique (CNRS)-Institut Rayonnement Matière de Saclay (IRAMIS), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Paris-Saclay, European Synchrotron Radiation Facility (ESRF), Institut des Nanotechnologies de Lyon (INL), École Centrale de Lyon (ECL), Université de Lyon-Université de Lyon-Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-École supérieure de Chimie Physique Electronique de Lyon (CPE)-Institut National des Sciences Appliquées de Lyon (INSA Lyon), Institut National des Sciences Appliquées (INSA)-Université de Lyon-Institut National des Sciences Appliquées (INSA)-Centre National de la Recherche Scientifique (CNRS), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Université de Lyon-École Supérieure de Chimie Physique Électronique de Lyon (CPE)-Institut National des Sciences Appliquées de Lyon (INSA Lyon), and Université de Lyon-Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,Annealing (metallurgy) ,Analytical chemistry ,General Physics and Astronomy ,chemistry.chemical_element ,02 engineering and technology ,Electronic structure ,01 natural sciences ,Ion ,X-ray photoelectron spectroscopy ,0103 physical sciences ,High-κ dielectric ,010302 applied physics ,Scattering ,business.industry ,[CHIM.MATE]Chemical Sciences/Material chemistry ,Surfaces and Interfaces ,General Chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Dipole ,chemistry ,Optoelectronics ,0210 nano-technology ,Tin ,business - Abstract
International audience; In this paper, we report the effect of high temperature annealing on the chemical and electronic structure of technologically relevant TiN/LaOx/HfSiON/SiON/Si gate stacks. Using medium energy ion scattering from the backside of the samples, a non-destructive compositional depth profile of La has been obtained, revealing the lanthanum diffusion in the SiON interface layer upon annealing. To complement this analysis, hard X-ray photoelectron spectroscopy with synchrotron radiation has been performed to investigate the chemical and electronic structure of the gate stacks. The results show clear changes in the Hf and Ti core level energy positions with respect to Si bulk, with changes in the thickness of the LaOx capping layer. We infer that La diffusion generates an internal electrical field at the La-silicate interface between HfSiON and SiON, and that its strength increases with the increase of LaOx thickness. These findings support the band alignment model based on a La-induced interfacial dipole.
- Published
- 2015
8. Using a simplified in line holography method as a qualitative tool to detect local heterogeneities in HfO2 layers
- Author
-
Mathias Alemany, Patricia Donnadieu, Amal Chabli, Edgar Rauch, Ben Mayall, Nicolas Bernier, Mickael Gros-Jean, and Marie-France Barthe
- Published
- 2016
9. Tetragonal Zirconia Stabilization by Metal Addition for Metal-Insulator-Metal Capacitor Applications
- Author
-
Alexandre Crisci, Elisabeth Blanquet, Stéphane Coindeau, Julien Ferrand, Mickael Gros-Jean, Virginie Beugin, and S. Jeannot
- Subjects
Materials science ,Zirconium dioxide ,Alloy ,Tantalum ,chemistry.chemical_element ,Mineralogy ,Germanium ,Dielectric ,engineering.material ,Amorphous solid ,Tetragonal crystal system ,chemistry.chemical_compound ,Chemical engineering ,chemistry ,engineering ,Cubic zirconia - Abstract
Zirconium dioxide is a good candidate to reach the capacitance density of 40fF/μm² required for decoupling applications. Indeed zirconia has a promising dielectric constant of 47 in the tetragonal phase. However, pure tetragonal zirconia layers deposited by PEALD do not fulfill the reliability criteria. We thus stabilize zirconia by addition of different metals. Tantalum is alloyed with zirconia. Since Ta solubility in ZrO2 is low, an orthorhombic ternary compounds appears and reduce the global dielectric constant of the layer. We also alloy zirconium dioxide with germanium. At high Ge concentration ZrO2 layers remain amorphous even after post deposition annealing, with a reduced dielectric constant. However at lower germanium concentration zirconia layers crystallize in tetragonal phase with better electrical properties than pure ZrO2.
- Published
- 2013
10. Study of resistive random access memory based on TiN/TaOx/TiN integrated into a 65nm advanced complementary metal oxide semiconductor technology
- Author
-
Jean-Francois Nodin, Philippe Candelier, C. Gaumer, Vincent Jousseaume, Jacques Cluzel, Barbara De Salvo, Elise Le-Roux, Carlo Cagli, Mickael Gros-Jean, C. Carabasse, T. Diokh, S. Jeannot, and M. Mellier
- Subjects
Materials science ,business.industry ,Metals and Alloys ,Oxide ,chemistry.chemical_element ,Nanotechnology ,Surfaces and Interfaces ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,chemistry.chemical_compound ,chemistry ,CMOS ,Stack (abstract data type) ,Materials Chemistry ,Optoelectronics ,Thermal stability ,Tin ,business ,Low voltage ,Voltage - Abstract
In this work, cells based on TiN/TaO x /TiN metal–insulator–metal structure, fabricated in a 65 nm complementary metal oxide semiconductor technology, are investigated in order to propose a good stack candidate for multiple time programmable (MTP) applications. Firstly, the oxide material thickness and stoichiometry are optimized to minimize the forming voltage and the RESET current. Programming energies of the devices under different current compliance during the SET operation and with different cell size are studied putting in evidence the filamentary mechanism. Very high speed and low voltage for the ON (SET) operation are demonstrated. High thermal stability up to 125 °C is demonstrated in the SET/RESET states of the device (with an R OFF /R ON ~ 100). Promising data retention exceeding 24 h at 250 °C is also shown. Finally, due to the stability of this device, the high potential of TaO x -based resistive random access memory for MTP applications is demonstrated.
- Published
- 2013
11. Investigation of HfO2 and ZrO2 for Resistive Random Access Memory applications
- Author
-
Julien Buckley, S. Jeannot, C. Mannequin, Patrice Gonon, Mickael Gros-Jean, Anne-Claire Salaün, H. Grampeix, C. Vallée, C. Gaumer, and Vincent Jousseaume
- Subjects
Resistive touchscreen ,Materials science ,Annealing (metallurgy) ,Metals and Alloys ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Amorphous solid ,Atomic layer deposition ,chemistry ,law ,Materials Chemistry ,Thin film ,Crystallization ,Tin ,High-κ dielectric - Abstract
This work is focused on the investigation of Au/high k/TiN stacks for Resistive Random Access Memories. A screening of high k oxides, commonly used in advanced metal gates, such as HfO2 and ZrO2, is proposed. These oxides were grown on TiN electrodes using Atomic Layer Deposition and Plasma Enhanced Atomic Layer Deposition. The morphological and structural properties of the films were studied as a function of deposition temperature, film thickness and/or annealing using Atomic Force Microscopy, Grazing Incidence X-ray Diffraction and Attenuated Total Reflectance. An amorphous to crystalline transformation was observed with thickness for HfO2 and ZrO2, with deposition temperature for HfO2 from 300 to 350 °C, and with annealing in N2 for 1 h at 400 °C for ZrO2. According to density measurements obtained using X-ray Reflectometry, HfO2 and ZrO2 are suspected to be stoichiometric whatever the thickness. The film stoichiometry was confirmed using X-ray Spectroscopy. Current–voltage measurements were performed on Au/high k/TiN, where Au and TiN are top and bottom electrodes, respectively. Whatever the high k material, the crystallization increases with thickness or/and temperature without any significant modification of the SET operation. The film annealing, which was proposed as an alternative way to crystallize ZrO2, may cause a modification of the interfaces, leading to a decrease of the switching performance.
- Published
- 2012
12. ALD en microélectronique - Applications, équipements et productivité
- Author
-
Mickael Gros-Jean and Arnaud Mantoux
- Abstract
Cet article est une revue de l’utilisation du depot par couches atomiques dans le secteur de la microelectronique, en termes d’elaboration de couches minces et de realisation de composants. Les applications, la chimie des precurseurs, les mecanismes de croissance ainsi que les differents type de reacteurs (avec ou sans assistance plasma) sont decrits.
- Published
- 2016
13. Gate stack solutions in gate-first FDSOI technology to meet high performance, low leakage, VT centering and reliability criteria
- Author
-
Xavier Federspiel, C. Suarez-Segovia, Olivier Gourhant, X. Garros, V. Beugin, Vincent Joseph, Michel Haond, Emmanuel Josse, S. Zoll, Alain Toffoli, Mickael Gros-Jean, E. Richard, C. Diouf, Florian Domengie, Olivier Weber, B. Saidi, J. Mazurier, Mustapha Rafik, and P. Perreau
- Subjects
Engineering ,business.industry ,Electrical engineering ,Time-dependent gate oxide breakdown ,Low leakage ,Ring oscillator ,Static random-access memory ,business ,AND gate ,NMOS logic ,Leakage (electronics) ,PMOS logic - Abstract
A unique gate stack solution has been found in gate-first FDSOI to meet at the same time high performance, low leakage, V T centering and reliability criteria for NMOS and PMOS with T inv =12.5A and 14A, respectively. Trade-offs between those characteristics are highlighted in this paper through process knob variations, including the interfacial layer (IL) formation, the IL surface treatment and the drive-in anneal temperature. The path allowing the construction of both low-V T high speed logic, reaching 7.2ps/stg FO3 ring oscillator delay at V nom =0.8V, and high-V T low leakage SRAM, achieving 3pA/cell standby leakage at V nom =0.8V, is demonstrated through gate workfunction engineering and gate leakage optimization. On top of this result, 5 years BTI and 10 years TDDB reliability lifetime were qualified at V max =0.945V, 125°C.
- Published
- 2016
14. Electrical Characterization of Advanced MIM Capacitors With ${\rm ZrO}_{2}$ Insulator for High-Density Packaging and RF Applications
- Author
-
Cedric Bermond, Thierry Lacrevaz, Mickael Gros-Jean, Alexis Farcy, T. Bertaud, Serge Blonkowski, Corentin Vallée, and Bernard Flechet
- Subjects
Permittivity ,Materials science ,business.industry ,Electrical engineering ,Physics::Optics ,Insulator (electricity) ,Dielectric ,Capacitance ,Industrial and Manufacturing Engineering ,Cutoff frequency ,Microstrip ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,law ,Dissipation factor ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
This paper deals with the electrical and wideband frequency characterizations of metal-insulator-metal (MIM) capacitors integrating the medium-k material ZrO2. First, the in situ material electrical properties are characterized in a frequency range from dc up to 5 GHz by using a microstrip waveguide method. The loss tangent and the permittivity are extracted with frequencies up to 5 GHz. We then investigate the evolution with frequency of the electrical parameters, such as capacitance density, quality factor, temperature coefficient of capacitance, voltage coefficient of capacitance, and cut-off frequency for MIM capacitors which incorporate ZrO2 dielectric layers with thickness from 10 to 45 nm.
- Published
- 2012
15. Modelization of hafnium silicate chemical vapor deposition using tetrakis-diethyl-amino-hafnium and tetrakis-dimethyl-amino-silane
- Author
-
Philippe Bouvet, Houda Graoui, David D.L. Larmagnac, Mickael Gros-Jean, and David Chu
- Subjects
Hybrid physical-chemical vapor deposition ,Chemistry ,Ion plating ,Inorganic chemistry ,Metals and Alloys ,Surfaces and Interfaces ,Combustion chemical vapor deposition ,Electron beam physical vapor deposition ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Pulsed laser deposition ,Atomic layer deposition ,Chemical engineering ,Plasma-enhanced chemical vapor deposition ,Materials Chemistry ,Deposition (phase transition) - Abstract
The Chemical Vapor Deposition growth mechanism of a hafnium silicate film deposited by means of the co-flow of two precursors, TDEAH (tetrakis-diethyl-amino-hafnium) and 4DMAS (tetrakis-dimethyl-amino-silane), is characterized. Typical growth kinetics demand that the deposition rate increases and the silicon concentration remain stable with increasing reactor pressure. Though the deposition rate follows the expected growth kinetics, the silicon concentration in the silicate does not and exhibits an abnormal increase with increasing reactor pressure. To understand this atypical behavior the formation of pure HfO 2 from TDEAH and pure SiO x from 4DMAS is first studied. Experimental results show that whereas the HfO 2 deposition is well behaved and fits a diffusion-based model defined by assuming diffusion of TDEAH through a boundary layer, the deposition of SiO x with 4DMAS requires Hf-O nucleation sites and self-saturates after a single Si―O monolayer is formed. Based on these observations, a model is developed for hafnium silicate formation. The Atomic Layer Deposition like behavior of 4DMAS decomposition results in a deposition rate and film stoichiometry that are weakly sensitive to the 4DMAS partial pressure, and instead are driven by the TDEAH reaction. Since TDEAH operates within a transport-limited regime, the deposition rate is insensitive to substrate temperature, and is only controlled by the TDEAH partial pressure and the gas phase kinematics, rendering the process robust and easily controllable with excellent reproducibility.
- Published
- 2012
16. Comparison of radio frequency physical vapor deposition target material used for LaOx cap layer deposition in 32nm NMOSFETs
- Author
-
P. Caubet, P. Normandon, C. Leroux, Mickael Gros-Jean, Gerard Ghibaudo, Roland Pantel, R.A. Bianchi, R. Boujamaa, S. Baudot, S. Zoll, and Magali Gregoire
- Subjects
Materials science ,business.industry ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,chemistry.chemical_compound ,Lanthanum oxide ,chemistry ,Gate oxide ,Physical vapor deposition ,MOSFET ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Layer (electronics) ,NMOS logic ,High-κ dielectric - Abstract
The MOSFET gate length reduction down to 32nm requires the introduction of a metal gate and a high-K dielectric as gate stack, both stable at high temperature. Here we use a nanometric layer of Lanthanum to shift the device threshold voltage from 500mV. Because this layer plays a key role in the device performance and strongly depends on its deposition process, we have compared two LaO"x deposition methods in terms of physical properties and influence on electrical NMOS device parameters. Chemical characterizations have shown a different oxidization state according to Lanthanum thickness deposited. It has been related to threshold voltage shift and gate leakage current variations on NMOS transistors. Furthermore mobility extractions have shown that Lanthanum is a cause of mobility degradation.
- Published
- 2011
17. Flatband Voltage Tuning of HfSiON-Based Gate Stacks: Impact of High Temperature Activation Annealing and LaOx Capping Layers
- Author
-
Olivier Renault, Catherine Dubourdieu, François Bertin, Jorg Zegenhagen, Virginie Loup, Mickael Gros-Jean, Eugénie Martinez, Sylvain Baudot, François Martin, Rachid Boujamaa, and Blanka Detlefs
- Subjects
Permittivity ,Materials science ,business.industry ,Transistor ,Wide-bandgap semiconductor ,Dielectric ,Capacitance ,law.invention ,Threshold voltage ,law ,Electronic engineering ,Optoelectronics ,Work function ,Metal gate ,business - Abstract
INTRODUCTION The aggressive scaling of metal-oxide-semiconductor field-effects transistors (MOSFETS) faces the challenge of metal gate (MG) and high-k (HK) dielectric integration to reduce power consumption [1]. Hf-based oxides and silicates, such as HfSiON, are considered as the most promising candidates for next-generation gate dielectrics, owing to their high permittivity, with a sufficiently wide band gap and a good thermal stability [2]. However, the control of the threshold voltage (Vth) for the advanced nFET and pFET devices is challenging [3]. In gate first approach, the incorporation of LaOx capping layer has been reported to provide Vth shift towards the nFET band edge, yielding the necessary decrease of the effective work function (EWF) of the gate [4]. The mechanism of this voltage shift is attributed to La-induced dipoles at the HK/Si interface [5]. For this reason, the location of LaOx capping layer within the gate stack is a key factor for optimizing the transistor Vth. So far, detailed studies of La-capped gate systems have been focused on HfO2/SiO2 stacks. In this work, we have investigated the impact of high temperature thermal annealing and LaOx capping layer on electronic structure and band discontinuity for TiN/LaOx/HfSiON/SiON/Si gate stacks by coupling hard X-ray photoelectron spectroscopy (HAXPES) with synchrotron radiation and capacitance versus voltage (CV) measurements.
- Published
- 2011
18. Plasma Enhanced Atomic Layer Deposition of ZrO2: A Thermodynamic Approach
- Author
-
Mickael Gros-Jean, Jérôme Roy, Stéphane Coindeau, Ioana Nuta, Blanka Detlefs, C. Wyon, Yanyu Mi, Fabien Volpi, Christine Martinet, Elisabeth Blanquet, Jorg Zegenhagen, Béatrice Doisneau, and Denis Monnier
- Subjects
010302 applied physics ,Atomic layer deposition ,Materials science ,0103 physical sciences ,Analytical chemistry ,02 engineering and technology ,Plasma ,021001 nanoscience & nanotechnology ,0210 nano-technology ,01 natural sciences ,7. Clean energy ,Plasma processing - Abstract
In the pursuit of smaller and faster devices manufacture, integration of new materials exhibiting a high dielectric permittivity is going on to replace silicon oxide SiO2 in Metal/Insulator/Metal (MIM) capacitors and in Dynamic Random Access Memory (DRAM). Among these materials, the zirconium oxide, ZrO2, in its highest dielectric permittivity phase (the high temperature tetragonal one) is investigated. Atomic Layer Deposition (ALD) of out-of-equilibrium ZrO2 thin films in 3D architectures is explored using various approaches: evaluation of the zirconium gaseous precursor, influence of operating conditions, thermal behavior of the deposited films. Thermodynamic models are used to better understand the film growth.
- Published
- 2011
19. Impact of the TiN electrode deposition on the HfO2 band gap for advanced MOSFET gate stacks
- Author
-
François Bertin, Eugénie Martinez, C. Gaumer, Christophe Licitra, Jean-Paul Barnes, Névine Rochat, Sandrine Lhostis, M. J. Guittet, Mickael Gros-Jean, Amal Chabli, and Nicholas Barrett
- Subjects
Band gap ,Electron energy loss spectroscopy ,Analytical chemistry ,chemistry.chemical_element ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,X-ray photoelectron spectroscopy ,Electrode ,Electrical and Electronic Engineering ,Tin ,Metal gate ,Layer (electronics) ,High-κ dielectric - Abstract
The impact of the deposition of a TiN electrode on the high-k oxide HfO"2 has been investigated, focussing on the dielectric band gap. After the gate elaboration, a non-destructive approach combining Spectroscopic Ellipsometry (SE), Reflection Electron Energy Loss Spectroscopy (REELS) and X-ray Photoelectron Spectroscopy (XPS) was developed to probe the buried metal/high-k interface. The overall optical band gap is 5.9+/-0.1eV with no change after the metal gate deposition. A local reduction of 1eV is measured near the TiN layer, due to N diffusion at the interface creating N 2p states at the top of the HfO"2 valence band. Increased disorder and defects are identified in the high-k after gate elaboration by XPS, REELS and SE.
- Published
- 2011
20. FDSOI devices with thin BOX and ground plane integration for 32nm node and below
- Author
-
Remi Beneyton, Simon Deleonibus, Sebastien Haendler, Pascal Gouraud, E. Deloffre, Tomasz Skotnicki, Claire Fenouillet-Beranger, Sébastien Barnola, C. Laviron, X. Garros, L. Tosti, P. Perreau, Nicolas Loubet, M. Casse, T. Salvetat, C. Leyris, Francois Leverd, Mickael Gros-Jean, P. Scheiblin, Francois Andrieu, F. Allain, Stephane Denorme, Loan Pham-Nguyen, Roland Pantel, C. Buj, L. Clement, O. Faynot, and M. Marin
- Subjects
Engineering ,business.industry ,Electrical engineering ,Silicon on insulator ,Integrated circuit ,Condensed Matter Physics ,Subthreshold slope ,Noise (electronics) ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,Low-power electronics ,MOSFET ,Materials Chemistry ,Optoelectronics ,Static random-access memory ,Electrical and Electronic Engineering ,business ,Metal gate ,Ground plane ,High-κ dielectric - Abstract
In this paper we compare Fully-Depleted SOI (FDSOI) devices with different BOX (Buried Oxide) thicknesses with or without ground plane (GP). With a simple high-k/metal gate structure, the 32 nm devices exhibits Ion/Ioff performances well suited for low power (LP) applications. The different BOX thicknesses and ground plane conditions are compared with bulk 45 nm technology in terms of variability and noise. A 0.499 μm2 SRAM cell has been characterized with less than 50 pA of standby current/cell and a SNM of 210 mV @ Vdd 1 V.
- Published
- 2009
21. Integration of a high density Ta2O5 MIM capacitor following 3D damascene architecture compatible with copper interconnects
- Author
-
C. Perrot, P. Caubet, M. Thomas, Joaquim Torres, M. Cordeau, I. Matko, W. Saikaly, Alexis Farcy, Sebastien Cremer, E. Deloffre, Nicolas Gaillard, Sylvie Bruyere, Bernard Chenevier, Mickael Gros-Jean, and M. Proust
- Subjects
Permittivity ,Materials science ,business.industry ,Copper interconnect ,chemistry.chemical_element ,Integrated circuit ,Condensed Matter Physics ,Capacitance ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,chemistry ,law ,visual_art ,Electronic component ,visual_art.visual_art_medium ,Optoelectronics ,Electrical and Electronic Engineering ,Tin ,business ,High-κ dielectric - Abstract
To face with the continuous integrated circuit densification, passive components size has to be reduced, particularly for RF and analog applications where lots of them are needed. A Metal-Insulator-Metal (MIM) capacitor is integrated with a high developed area architecture to increase the capacitance density and limit encumbrance. The combination of this architecture with Ta"2O"5 dielectric with a permittivity of 25 allows capacitance densities of more than 15fF/@mm^2. As metal insulator interface is critical, two stacks TiN/Ta"2O"5/TiN and TiN/Ta"2O"5/Cu are integrated among copper interconnects, evaluated and compared.
- Published
- 2006
22. Wide band frequency and in situ characterisation of high permittivity insulators (High-K) for H.F. integrated passives
- Author
-
J. Piquet, Alexis Farcy, B. Blampey, Cedric Bermond, Joaquim Torres, Thierry Lacrevaz, T.T. Vo, G. Angenieux, Mickael Gros-Jean, O. Cueto, F. de Crecy, and Bernard Flechet
- Subjects
Permittivity ,Materials science ,Frequency band ,business.industry ,Insulator (electricity) ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Planar ,Transmission line ,Optoelectronics ,Electrical and Electronic Engineering ,business ,High-κ dielectric ,Electronic circuit - Abstract
High permittivity insulators (High-K) are progressively introduced in high-speed integrated passives and devices in order to optimize circuits performances. However, High-K properties are expected to vary with manufacturing process and also frequency as relaxation and resonance mechanisms occur. It is necessary to analyze and evaluate High-K behaviour from DC to microwave frequency. Real permittivity (K or @e'"r) and losses (@e''"r) assessment is required over a wide band of frequency to select the most suitable insulator. The proposed method enables the characterization of as deposited thin (down to 60nm) planar dielectrics integrated below a copper coplanar wave-guide up to 40GHz. Results of Si"3N"4, Ta"2O"5 and STO insulators are presented in this paper.
- Published
- 2006
23. Characterization of electrical and crystallographic properties of metal layers at deca-nanometer scale using Kelvin probe force microscope
- Author
-
Denis Mariolle, Mickael Gros-Jean, François Bertin, M. Djebbouri, A. Bsiesy, S. Chhun, M. Proust, A. Bajolet, and Nicolas Gaillard
- Subjects
Kelvin probe force microscope ,chemistry.chemical_element ,Electron ,Chemical vapor deposition ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Crystallography ,chemistry ,Electron diffraction ,Physical vapor deposition ,Work function ,Electrical and Electronic Engineering ,Tin ,Electron backscatter diffraction - Abstract
As device dimensions are scaled down, the variations of electrical and chemical properties within metal electrodes have a critical impact on MOSFETs and Metal/Insulator/Metal (MIM) capacitors characteristics. In this letter, we report on Work Function (WF) measurements performed at deca-nanometer scale using Kelvin probe Force Microscope (KFM). We first demonstrated the relationship between the WF value and the grain crystallographic orientation by combining KFM and Electron Back Scattered Diffraction (EBSD) performed over the same Cu area. Once this relationship was established, KFM was used to provide, in addition to the WF value, the crystallographic properties of PVD TiN films grown on various substrates. Finally we measured an increase of 0.26 eV of the WF of TiN grown by CVD after N"2/H"2 plasma treatment. In the latter case, the modification of the bulk chemical potential by post-treatment has been proposed.
- Published
- 2006
24. A 55 nm triple gate oxide 9 metal layers SiGe BiCMOS technology featuring 320 GHz fT / 370 GHz fMAX HBT and high-Q millimeter-wave passives
- Author
-
S. Joblot, C. De-Buttet, Sébastien Petitdidier, F. Abbate, C. Jenny, Didier Celi, B. Ramadout, Thomas Quemerais, Sebastien Haendler, Laurent Favennec, Daniel Gloria, O. Robin, C. Richard, E. Canderle, B. Borot, K. Haxaire, N. Derrier, Remi Beneyton, Julien Rosa, G. Ribes, O. Saxod, P. Brun, Y. Campidelli, Pascal Chevalier, Cedric Durand, A. Montagne, Francois Leverd, G. Imbert, Olivier Gourhant, M. Guillermet, E. Gourvest, L. Berthier, Clement Tavernier, J. Cossalter, M. Buczko, C. Deglise, Mickael Gros-Jean, C. Julien, Jean-Damien Chapon, K. Courouble, D. Ney, G. Avenier, Patrick Maury, Y. Carminati, R. Bianchini, and F. Foussadier
- Subjects
Bit cell ,Materials science ,business.industry ,Heterojunction bipolar transistor ,Electrical engineering ,Ring oscillator ,BiCMOS ,Inductor ,law.invention ,Capacitor ,CMOS ,law ,Extremely high frequency ,Optoelectronics ,business - Abstract
This paper presents the first 55 nm SiGe BiCMOS technology developed on a 300 mm wafer line in STMicroelectronics. The technology features Low Power (LP) and General Purpose (GP) CMOS devices and 0.45 µm2 6T-SRAM bit cell. High Speed (HS) HBT exhibits 320 GHz f T and 370 GHz f MAX associated with a CML ring oscillator gate delay τ D of 2.34 ps. Transmission lines, capacitors, high-Q varactors and inductors dedicated to millimeter-wave applications are also available.
- Published
- 2014
25. Electrical properties in low temperature range (5K–300K) of Tantalum Oxide dielectric MIM capacitors
- Author
-
Serge Blonkowski, Mickael Gros-Jean, Stéphane Bécu, Sebastien Cremer, Sylvie Bruyere, Laurent Montès, Gerard Ghibaudo, and E. Deloffre
- Subjects
Condensed matter physics ,Chemistry ,business.industry ,Electrical engineering ,Activation energy ,Dielectric ,Atmospheric temperature range ,Condensed Matter Physics ,Thermal conduction ,Capacitance ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,Dipole ,law ,Electrical and Electronic Engineering ,Safety, Risk, Reliability and Quality ,business ,High-κ dielectric - Abstract
Tantalum oxide (Ta 2 O 5 ) is widely used for MIM (Metal-Insulator-Metal) capacitor owing of its high dielectric constant. This work examines current–voltage and capacitance–voltage characteristics in the 5 K–300 K temperature range. Working at low temperature was chosen in order to freeze trapping mechanisms of the MIM capacitor. The curvature of C – V characteristics radically changes from 5 K to 300 K. The capacitance variation under voltage at 50 K and below can be investigated using the Langevin theory. From this model the permanent dipole moment and the number of dipoles have been extracted. From Poole–Frenkel identification curves, activation energy around 0.20 eV and a dielectric constant of 26 were found for positive polarisation. However, conduction mechanisms cannot be reduced to strick Poole–Frenkel modelling.
- Published
- 2005
26. Investigation of point defects in HfO2using positron annihilation spectroscopy: internal electric fields impact
- Author
-
M F Barthe, P Desgardin, M Alemany, Evan Oudot, Mickael Gros-Jean, F. Pierre, A. Chabli, François Bertin, Conditions Extrêmes et Matériaux : Haute Température et Irradiation (CEMHTI), Université d'Orléans (UO)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), STMicroelectronics [Crolles] (ST-CROLLES), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut National de L'Energie Solaire (INES), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), Centre National de la Recherche Scientifique (CNRS)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), and Centre National de la Recherche Scientifique (CNRS)-Institut de Chimie du CNRS (INC)-Université d'Orléans (UO)
- Subjects
010302 applied physics ,History ,Materials science ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Crystallographic defect ,Computer Science Applications ,Education ,Positron annihilation spectroscopy ,Electric field ,0103 physical sciences ,[PHYS.COND]Physics [physics]/Condensed Matter [cond-mat] ,Atomic physics ,0210 nano-technology ,ComputingMilieux_MISCELLANEOUS - Abstract
International audience
- Published
- 2017
27. Aluminum charge/dipole passivation induced by hydrogen diffusion in high-k metal gate
- Author
-
P. Caubet, Mickael Gros-Jean, S. Chhun, D. Petit, G. Ribes, and V. Barral
- Subjects
Dipole ,Materials science ,chemistry ,Passivation ,Hydrogen ,Chemical physics ,Aluminium ,Inorganic chemistry ,chemistry.chemical_element ,Work function ,Diffusion (business) ,Metal gate ,High-κ dielectric - Abstract
In this study, we have analyzed the influence of different high-k (HK), interfacial layer (IL) and metal gate on the Al effect. We show that hydrogen diffusion during the BEOL process can suppress totally the Al effect on work function. Solutions to stabilize the Al effect with respect to hydrogen diffusion are proposed and a model explaining the hydrogen and Al interaction is provided.
- Published
- 2014
28. Ultra-thin oxides on silicon fabricated using ultra-slow multicharged ion beams
- Author
-
C Wyon, Mickael Gros-Jean, N. Béchu, V. Le Roux, N. Rochat, Michael Korwin-Pawlowski, R. Laffitte, L. Vallier, and Gilles Borsoni
- Subjects
Auger electron spectroscopy ,Materials science ,Silicon ,Infrared ,Gate dielectric ,Analytical chemistry ,chemistry.chemical_element ,Substrate (electronics) ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,X-ray photoelectron spectroscopy ,Surface charge ,Electrical and Electronic Engineering ,Safety, Risk, Reliability and Quality - Abstract
We have used ultra-slow multicharged ions in ultra-high vacuum with a low partial pressure of O2 to grow ultra-thin layers (0.3 to 2.0 nm) of SiO2 on silicon. The advantage of using ultra-slow ions is that they interact with the surface only through their potential energy, not their kinetic energy, so they do not penetrate below the surface, avoiding implanted-induced damages to the substrate. This paper presents several analysis we have performed to qualify these ultra-thin SiO2 layers, such as Fourier transform infrared spectroscopy–attenuated total reflection, Auger electron spectroscopy, X-ray photoelectron spectroscopy, spectroscopic ellipsometry and surface charge analysis. The oxidation process was monitored in situ and in real time using visible light emitted during the irradiation, and the thickness of the SiO2 layers could be controlled. We plan to used these ultra-thin SiO2 layers in next generation MOS gate dielectric stack, as a buffer layer between the channel in silicon and a high-k dielectric.
- Published
- 2001
29. Frequency Effect on Voltage Linearity of $ \hbox{ZrO}_{2}$-Based RF Metal–Insulator–Metal Capacitors
- Author
-
Cedric Bermond, Mickael Gros-Jean, T. Bertaud, Christophe Vallée, Bernard Flechet, Patrice Gonon, and Serge Blonkowski
- Subjects
Materials science ,business.industry ,Electrical engineering ,Linearity ,chemistry.chemical_element ,Dielectric ,Capacitance ,Electronic, Optical and Magnetic Materials ,law.invention ,Capacitor ,chemistry ,law ,Electrode ,Optoelectronics ,Electrical and Electronic Engineering ,Wideband ,business ,Tin ,Voltage - Abstract
This letter deals with the electrical and wideband frequency characterizations of metal-insulator-metal capacitors integrating medium-? material, ZrO2. In particular, this letter focuses on the frequency effect on the voltage linearity of these capacitors and material. The dependence of the voltage-capacitance coefficient (VCC) ? is, for the first time, studied from 1 kHz to 1 GHz. Intrinsic or extrinsic material origin of the VCC are discussed.
- Published
- 2010
30. Investigation of the impact of the oxide thickness and RESET conditions on disturb in HfO2-RRAM integrated in a 65nm CMOS technology
- Author
-
S. Jeannot, H. Grampeix, L. Perniola, T. Diokh, Philippe Candelier, J. F. Nodin, Mickael Gros-Jean, B. De Salvo, E. Jalaguier, Elise Le-Roux, Vincent Jousseaume, T. Cabout, and M. Guillermet
- Subjects
Materials science ,business.industry ,Electrical engineering ,Oxide ,Dielectric ,Thermal conduction ,Resistive random-access memory ,Stress (mechanics) ,chemistry.chemical_compound ,CMOS ,chemistry ,Optoelectronics ,business ,Reset (computing) ,Voltage - Abstract
In this work, a comprehensive investigation of disturb in HfO2-Resistive Random Access Memories (RRAM) integrated in an advanced 65nm technology is presented. The effects of the oxide thickness and RESET conditions on disturb immunity of the High-Resistance-State (HRS) are explored. Constant Voltage Stress is applied on a large amount of samples at various temperatures. Data are collected and analyzed on a statistical basis. The SET dependence to the RESET conditions is investigated and correlated to the length of the induced depleted gap along the conductive filament. The conduction mechanism of the HRS is correlated to the failure/SET process of the RRAM device through a voltage acceleration model. It is shown that thicker dielectric oxide and stronger RESET conditions give rise to longer failure times.
- Published
- 2013
31. Evaluation and modeling of lanthanum diffusion in TiN/La2O3/HfSiON/SiO2/Si high-k stacks
- Author
-
P. Boulenc, Federico Panciera, Marc Juhel, C. Gaumer, Z. Essa, Mickael Gros-Jean, Fuccio Cristiano, Clement Tavernier, A. Pakfar, STMicroelectronics [Crolles] (ST-CROLLES), Équipe Matériaux et Procédés pour la Nanoélectronique (LAAS-MPN), Laboratoire d'analyse et d'architecture des systèmes (LAAS), Université Toulouse 1 Capitole (UT1), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-Université Toulouse - Jean Jaurès (UT2J)-Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université Fédérale Toulouse Midi-Pyrénées-Université Toulouse 1 Capitole (UT1), Université Fédérale Toulouse Midi-Pyrénées, Université Toulouse Capitole (UT Capitole), Université de Toulouse (UT)-Université de Toulouse (UT)-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Institut National des Sciences Appliquées (INSA)-Université Toulouse - Jean Jaurès (UT2J), Université de Toulouse (UT)-Université Toulouse III - Paul Sabatier (UT3), Université de Toulouse (UT)-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université de Toulouse (UT)-Université Toulouse Capitole (UT Capitole), Université de Toulouse (UT), Université Toulouse - Jean Jaurès (UT2J)-Université Toulouse 1 Capitole (UT1), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université Fédérale Toulouse Midi-Pyrénées-Université Toulouse - Jean Jaurès (UT2J)-Université Toulouse 1 Capitole (UT1), Institut d’Électronique, de Microélectronique et de Nanotechnologie - UMR 8520 (IEMN), and Centrale Lille-Institut supérieur de l'électronique et du numérique (ISEN)-Université de Valenciennes et du Hainaut-Cambrésis (UVHC)-Université de Lille-Centre National de la Recherche Scientifique (CNRS)-Université Polytechnique Hauts-de-France (UPHF)
- Subjects
010302 applied physics ,[PHYS]Physics [physics] ,Materials science ,Physics and Astronomy (miscellaneous) ,Silicon ,Annealing (metallurgy) ,Analytical chemistry ,Oxide ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,[SPI.MAT]Engineering Sciences [physics]/Materials ,Secondary ion mass spectrometry ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,chemistry ,0103 physical sciences ,[PHYS.COND.CM-MS]Physics [physics]/Condensed Matter [cond-mat]/Materials Science [cond-mat.mtrl-sci] ,Diffusion (business) ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,Tin ,Technology CAD ,High-κ dielectric - Abstract
In this study, TiN/La2O3/HfSiON/SiO2/Si gate stacks with thick high-k (HK) and thick pedestal oxide were used. Samples were annealed at different temperatures and times in order to characterize in detail the interaction mechanisms between La and the gate stack layers. Time-of-flight secondary ion mass spectrometry (ToF-SIMS) measurements performed on these samples show a time diffusion saturation of La in the high-k insulator, indicating an La front immobilization due to LaSiO formation at the high-k/interfacial layer. Based on the SIMS data, a technology computer aided design (TCAD) diffusion model including La time diffusion saturation effect was developed.
- Published
- 2012
32. Interface Study of SiO2/ HfO2/SiO2 Stacks Used as InterPoly Dielectric for Future Generations of Embedded Flash Memories
- Author
-
Gilles. Micolau, Nicolas Breil, Pierre Morin, Damien Deleruyelle, N. Cherault, Mickael Gros-Jean, Christophe Muller, and Alexandre Guiraud
- Subjects
Flash (photography) ,Materials science ,X-ray photoelectron spectroscopy ,Stack (abstract data type) ,business.industry ,Interface (computing) ,Thermal ,Optoelectronics ,Electrical measurements ,Dielectric ,business ,Layer (electronics) - Abstract
We have investigated the integration of Hf-based material as Inter Poly Dielectric in flash memories devices. Electrical measurements showed the good properties of SiO2/HfO2/SiO2 stacks. We then interested to the impact of the thermal budget on this specific stack which induces changes in the electrical properties. XPS measurements suggests those changes are due to the presence of an Hf-silicate layer at the SiO2/HfO2 interface.
- Published
- 2010
33. Wideband frequency and in-situ characterization of ultra thin ZrO2 and HfO2 films for integrated MIM capacitors
- Author
-
T. Bertaud, Corentin Vallée, Cedric Bermond, Thierry Lacrevaz, Bernard Flechet, Mickael Gros-Jean, Y. Morand, Serge Blonkowski, Alexis Farcy, Laboratoire des technologies de la microélectronique (LTM), Université Joseph Fourier - Grenoble 1 (UJF)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS), STMicroelectronics [Crolles] (ST-CROLLES), Centre de Physique des Particules de Marseille (CPPM), Aix Marseille Université (AMU)-Institut National de Physique Nucléaire et de Physique des Particules du CNRS (IN2P3)-Centre National de la Recherche Scientifique (CNRS), STMicroelectronics, Science et Ingénierie des Matériaux et Procédés (SIMaP), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS), IMT - MINES ALES (IMT - MINES ALES), Institut Mines-Télécom [Paris] (IMT), Philips Electonics Pvt Ltd, Philips, Clot, Marielle, Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS), Laboratoire d'Etudes Aérodynamiques (LEA), Centre National de la Recherche Scientifique (CNRS)-ENSMA-Université de Poitiers, Laboratoire des technologies de la microélectronique ( LTM ), Université Joseph Fourier - Grenoble 1 ( UJF ) -Centre National de la Recherche Scientifique ( CNRS ), Radio Fréquences et Millimétrique ( RFM ), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation ( IMEP-LAHC ), Centre National de la Recherche Scientifique ( CNRS ) -Université Savoie Mont Blanc ( USMB [Université de Savoie] [Université de Chambéry] ) -Institut National Polytechnique de Grenoble ( INPG ) -Université Joseph Fourier - Grenoble 1 ( UJF ) -Institut polytechnique de Grenoble - Grenoble Institute of Technology ( Grenoble INP ) -Université Grenoble Alpes ( UGA ) -Centre National de la Recherche Scientifique ( CNRS ) -Université Savoie Mont Blanc ( USMB [Université de Savoie] [Université de Chambéry] ) -Institut National Polytechnique de Grenoble ( INPG ) -Université Joseph Fourier - Grenoble 1 ( UJF ) -Institut polytechnique de Grenoble - Grenoble Institute of Technology ( Grenoble INP ) -Université Grenoble Alpes ( UGA ), STMicroelectronics [Crolles] ( ST-CROLLES ), Université de Poitiers-ENSMA-Centre National de la Recherche Scientifique (CNRS), Université Joseph Fourier - Grenoble 1 (UJF)-Centre National de la Recherche Scientifique (CNRS)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut de Chimie du CNRS (INC)-Institut National Polytechnique de Grenoble (INPG), and Michelin, Isabelle
- Subjects
Permittivity ,Materials science ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Analytical chemistry ,Insulator (electricity) ,02 engineering and technology ,Dielectric ,7. Clean energy ,01 natural sciences ,law.invention ,Atomic layer deposition ,law ,0103 physical sciences ,Electrical and Electronic Engineering ,Wideband ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS ,High-κ dielectric ,010302 applied physics ,business.industry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Capacitor ,[SPI.OPTI]Engineering Sciences [physics]/Optics / Photonic ,Optoelectronics ,[ SPI.NANO ] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0210 nano-technology ,business ,Microwave - Abstract
High-@k dielectrics are promising candidates to increase capacitor integration densities but their properties depend on manufacturing process and frequency because relaxation and resonance mechanisms occur. Complementary characterization protocols are needed to analyze high-@k insulator behaviour from DC to microwave frequencies. The extraction of Plasma Enhanced Atomic Layer Deposition HfO"2 and ZrO"2 complex permittivity was performed up to 5GHz using dedicated test vehicles allowing an in situ characterization as a function of dielectric thickness. The measurement procedure was thus validated, highlighting the potentiality of these two dielectrics to cover a wide range of frequencies.
- Published
- 2009
34. Folded fully depleted FET using Silicon-On-Nothing technology as a highly W-scaled planar solution
- Author
-
Stephane Monfray, Roland Pantel, Claire Fenouillet-Beranger, Pascal Gouraud, A. Torres, Didier Dutartre, Thomas Skotnicki, D. Fleury, Francois Leverd, Mickael Gros-Jean, Pierre Perreau, C. Laviron, B. Orlando, T. Salvetat, Jean-Damien Chapon, L. Clement, Frederic Boeuf, Remi Beneyton, Gerard Ghibaudo, Nicolas Loubet, C. Duluard, Sébastien Barnola, G. Bidal, E. Deloffre, Stephane Denorme, Domenget, Chahla, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Engineering ,Fabrication ,Silicon ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,Epitaxy ,01 natural sciences ,Planar ,[PHYS.COND.CM-GEN] Physics [physics]/Condensed Matter [cond-mat]/Other [cond-mat.other] ,0103 physical sciences ,Materials Chemistry ,Wafer ,Electrical and Electronic Engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,ComputingMilieux_MISCELLANEOUS ,010302 applied physics ,business.industry ,Electrical engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Subthreshold slope ,Electronic, Optical and Magnetic Materials ,chemistry ,[PHYS.COND.CM-GEN]Physics [physics]/Condensed Matter [cond-mat]/Other [cond-mat.other] ,Optoelectronics ,Field-effect transistor ,0210 nano-technology ,business - Abstract
This work proposes a planar fully depleted “folded” technology integrated on bulk substrate as an innovative solution for upcoming low power nodes to enhance drive current on narrow devices. We report a detailed fabrication method, combining advanced selective epitaxy faceting and SON (Silicon-On-Nothing) process, to provide u ltra t hin b ody and b uried oxide (UTB 2 ) devices with improved drive current I on for a given designed footprint W design when scaling the device width . We compare the fabrication and electrical behavior between 〈1 1 0〉 channel, i.e. 0°-rotated wafer, and 〈1 0 0〉 channel, i.e. 45°-rotated wafer, for the same (1 0 0) surface orientation.
- Published
- 2009
35. Effect of Poly/SiON Gate Stack Combined with Thin BOX and Ground Plane for Low Vth and Analog Applications of FDSOI Devices
- Author
-
T. Salvetat, Thomas Skotnicki, F. Abbate, J. Bienacel, Sébastien Barnola, Claire Fenouillet-Beranger, Philippe Garnier, N. Cherault, P. Perreau, Pascal Gouraud, Mickael Gros-Jean, D. Chanemougame, O. Faynot, Franck Arnaud, D. Barge, Remi Beneyton, M. Gattefait, Magali Gregoire, Simon Deleonibus, Stephane Denorme, Francois Leverd, Roland Pantel, P. Gros, P. Rivallin, Jean-Damien Chapon, B. Le-Gratiet, Cecilia M. Mezzomo, C. Leyris, N. Kubler, M. Marin, S. Kohler, C. Buj, G. Guierleo, Nicolas Loubet, X. Garros, M. Fournier, C. Laviron, E. Deloffre, Francois Andrieu, A. Torres, and M. Casse
- Subjects
Materials science ,business.industry ,Gate stack ,Optoelectronics ,business ,Ground plane - Published
- 2008
36. Planar Bulk+ technology using TiN/Hf-based gate stack for low power applications
- Author
-
Thomas Skotnicki, C. Laviron, Pascal Gouraud, Vincent Cosnier, P. Perreau, Jean-Damien Chapon, Stephane Denorme, Sebastien Haendler, T. Salvetat, J. Bougueon, Frederic Boeuf, C. Leyris, Francois Leverd, Mustapha Rafik, G. Bidal, François Martin, Sébastien Barnola, D. Fleury, M. Sellier, Gerard Ghibaudo, Mickael Gros-Jean, M.-P. Samson, D. Chanemougame, L. Clement, M. Marin, Nicolas Loubet, and Stephane Monfray
- Subjects
Materials science ,Silicon ,business.industry ,Transistor ,Electrical engineering ,chemistry.chemical_element ,PMOS logic ,Silicon-germanium ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,MOSFET ,Optoelectronics ,business ,Metal gate ,NMOS logic ,High-κ dielectric - Abstract
This work highlights the new bulk+ technology using high-K dielectric, single metal gate and fully depleted SON (silicon on nothing) channel for sub-45 nm low cost applications. Thin silicon channel (down to Tsi= 8 nm) and thin BOX (Tbox = 15 to 25 nm) are obtained using the SON process (Jurczak, 1999). Transistor performance (Wdesign/Lgate= 90 nm/40 nm) at Vdd = 1.1 V and Ioff < 2 nA/ mum is as high as 1298 muA/ mum for nMOS and 663 muA/ mum for pMOS. In addition, reliability, noise and 6T-SRAM bit cells down to 0.249 mum2 are characterized. Significant improvements with respect to conventional bulk technology are demonstrated.
- Published
- 2008
37. Folded fully depleted Bulk+ technology as a highly W-scaled planar solution
- Author
-
Francois Leverd, Jean-Damien Chapon, Remi Beneyton, Stephane Monfray, G. Bidal, E. Deloffre, Stephane Denorme, Mickael Gros-Jean, Thomas Skotnicki, Sébastien Barnola, Roland Pantel, Claire Fenouillet-Beranger, D. Fleury, Frederic Boeuf, C. Pribat, Pascal Gouraud, C. Laviron, T. Salvetat, Gerard Ghibaudo, L. Clement, P. Perreau, Didier Dutartre, D. Chanemougame, Nicolas Loubet, and C. Duluard
- Subjects
Fabrication ,Materials science ,business.industry ,Transistor ,Silicon-germanium ,law.invention ,chemistry.chemical_compound ,Planar ,chemistry ,law ,Low-power electronics ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,Wafer ,Thin film ,business - Abstract
This work proposes a Bulk+ planar fully depleted ldquofoldedrdquo technology as an innovative cost worthy solution for upcoming low power nodes. We report a detailed fabrication method, combining advanced selective epitaxy faceting and SON (Silicon-On-Nothing) process, to provide thin film/thin BOX devices with improved transistor gain beta for a given designed footprint Wdesign. We compare the fabrication between channel, i.e. non-rotated wafer, and channel, i.e. 45deg-rotated wafer, for the same (100) surface orientation.
- Published
- 2008
38. Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
- Author
-
Johan Swerts, Steven Marcus, Fourmun Lee, Jan Willem Maes, Annelies Delabie, Tom E. Blomberg, Glen D. Wilk, Mickael Gros-Jean, E. Deloffre, and Eric Shero
- Subjects
Materials science ,Fabrication ,business.industry ,Electrical engineering ,law.invention ,Capacitor ,Atomic layer deposition ,Nanoelectronics ,Sputtering ,law ,Hardware_INTEGRATEDCIRCUITS ,Microelectronics ,Optoelectronics ,Node (circuits) ,Thin film ,business - Abstract
Atomic layer deposition (ALD) recently emerged as an enabling technology for microelectronic device fabrication. This technique provides the unique capability to deposit ultra thin films with the thickness control, uniformity, step coverage, and electrical/mechanical properties required to support device manufacturing at the 45 nm node and beyond. This paper will review the fundamentals of ALD processing and describe the equipment used. Applications of ALD in the fabrication of advanced gate stacks, on-chip capacitors, and thin film magnetic heads are presented.
- Published
- 2007
39. Reliable 3D Damascene MIM architecture embedded into Cu interconnect for a Ta2O5 capacitor record density of 17 fF/¿m2
- Author
-
Bernard Flechet, C. Perrot, Alexis Farcy, J. Piquet, Mickael Gros-Jean, E. Deloffre, M. Thomas, M. Cordeau, C. Richard, Daniel Benoit, Joaquim Torres, Roland Pantel, P. Caubet, Cedric Bermond, Bernard Chenevier, and S. Guillaumet
- Subjects
Interconnection ,Materials science ,business.industry ,Copper interconnect ,Electrical engineering ,chemistry.chemical_element ,Linearity ,Capacitance ,law.invention ,Capacitor ,chemistry ,Stack (abstract data type) ,law ,Optoelectronics ,Tin ,business ,Voltage - Abstract
A new simple 3D Damascene architecture requiring only one additional mask is introduced for high-density MIM capacitors. TiN/Ta2O5/TiN stack deposited by PEALD has been integrated between Cu interconnect levels to maximize quality factor Q, reaching up to 17 fF/μm2 capacitance. High-performance, breakdown voltages over 15 V and good linearity, C1 = 76 ppm/V and C2 = 63 ppm/V2 at 100 kHz, make this capacitor an unique solution for analog and RF applications embedded in Cu BEOL.
- Published
- 2007
40. High-K dielectric deposition in 3D architectures: The case of Ta2O5 deposited with metal-organic precursor TBTDET
- Author
-
J.-C. Giraudin, A. Bajolet, Y. Bréchet, F. Volpi, Mickael Gros-Jean, L. Pinzelli, Science et Ingénierie des Matériaux et Procédés (SIMaP), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,020209 energy ,Nanotechnology ,02 engineering and technology ,Dielectric ,law.invention ,Metal ,law ,0202 electrical engineering, electronic engineering, information engineering ,Microelectronics ,[SPI.GPROC]Engineering Sciences [physics]/Chemical and Process Engineering ,Metalorganic vapour phase epitaxy ,Electrical and Electronic Engineering ,Safety, Risk, Reliability and Quality ,Deposition (law) ,High-κ dielectric ,business.industry ,[CHIM.MATE]Chemical Sciences/Material chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Capacitor ,visual_art ,Trench ,visual_art.visual_art_medium ,Optoelectronics ,0210 nano-technology ,business - Abstract
New applications in microelectronics need the integration of high capacitance devices. One way of this development is the integration of capacitors with 3D architecture such as trench fields. The challenge is then to deposit the dielectric material in a highly conformal way within trenches showing high aspects ratios. We have studied and modeled the conformality and the loading effect of Ta 2 O 5 deposited by MOCVD in an analytical way.
- Published
- 2007
41. Wide Band Frequency Characterization of High Permittivity Dielectrics (High-K) for RF MIM Capacitors Integrated in BEOL
- Author
-
J. Piquet, Cedric Bermond, Thierry Lacrevaz, T.T. Vo, O. Cueto, Alexis Farcy, G. Angenieux, Joaquim Torres, Mickael Gros-Jean, F. de Crecy, Emmanuel Defay, B. Blampey, and Bernard Flechet
- Subjects
Permittivity ,Materials science ,business.industry ,Relative permittivity ,chemistry.chemical_element ,Insulator (electricity) ,Dielectric ,Copper ,law.invention ,Capacitor ,Planar ,chemistry ,law ,Electronic engineering ,Optoelectronics ,business ,High-κ dielectric - Abstract
High permittivity insulators (high-k) are progressively introduced in high-speed integrated passives and devices in order to optimize circuit performances. However, high-k properties are expected to vary with frequency as relaxation and resonance mechanisms occur. It is necessary to analyze and evaluate high-k behaviour from DC to microwave frequency. Real permittivity (K or epsiv'r) and losses (eepsiv"r) extraction is required over a wide band of frequency to select the most suitable insulator. The proposed method enables the characterization of as deposited thin (down to 60 nm) planar dielectrics integrated below a copper coplanar wave-guide up to 40 GHz. Results of Ta2O5 and STO insulators are presented in this paper
- Published
- 2006
42. Metal Electrodes Work Function Measurement at Deca-Nanometer Scale using Kelvin Probe Force Microscope: a Step Forward to the Comprehension of Deposition Techniques Impact on Devices Electrical Properties
- Author
-
Ahmad Bsiesy, François Bertin, Nicolas Gaillard, Mickael Gros-Jean, and Denis Mariolle
- Subjects
Diffraction ,Kelvin probe force microscope ,Materials science ,business.industry ,Analytical chemistry ,chemistry.chemical_element ,Electron ,chemistry ,Optoelectronics ,Nanometre ,Work function ,Tin ,business ,Deposition (law) ,Electron backscatter diffraction - Abstract
In this letter, we report on Work Function (WF) measurements performed at deca-nanometer scale on various metals using Kelvin probe Force Microscope (KFM). We first demonstrated the relationship between the WF value and the grain crystallographic orientation by combining KFM and Electron Back Scattered Diffraction (EBSD) performed over the same Cu area. Once this relationship was established, KFM was used to provide, in addition to WF value, crystallographic properties of TiN PVD films grown on various substrates. Finally we characterized the effect of N2/H2 plasma treatment on the WF of TiN grown by CVD. In the latter case, the modification of the bulk chemical potential by post-treatment was proposed.
- Published
- 2006
43. Investigation On Oxygen Diffusion in a High-k Metal-Gate Stack for Advanced CMOS Technology By XPS
- Author
-
Mickael Gros-Jean, Ardem Kechichian, and Philippe Barboux
- Subjects
Silicon ,Annealing (metallurgy) ,business.industry ,Oxide ,Electrical engineering ,chemistry.chemical_element ,Equivalent oxide thickness ,chemistry.chemical_compound ,chemistry ,X-ray photoelectron spectroscopy ,Optoelectronics ,Wafer ,Tin ,business ,Metal gate - Abstract
In the late 2000s’ the 32nm Coupled Metal Oxide Semiconductor technology node required the use of a high-k dielectric with a metal gate instead of the historically used silicon dioxide and polysilicon. The aim was to reduce the leakage current between the gate and the channel which became critical for silicon-based gate stacks [1]. However using a high-k metal-gate architecture makes the control of the electrical properties of the transistor really challenging. Indeed the high-k material strongly interacts with its environment during the manufacturing process, which thoroughly changes the device properties. Parameters such as the threshold voltage or the Equivalent Oxide Thickness are not only related to a material selection, but are also subject to modification due to the oxygen diffusion throughout the stack [1]. It has been shown that the oxygen diffusion leads to film thickness modifications, which have a direct impact on these properties [2]. The understanding of the oxygen diffusion dynamics hence becomes mandatory. Our work has been performed inside a cuttingedge STMicroelectronics cleanroom. It focuses on the annealing effects with regard to the oxygen diffusion throughout the stack TiN/HfO2/SiO2/Si. For that purpose, a blank 300mm Si (100) wafer undergoes an oxidizing wet treatment (HF-SC1) to form an 8A-thick chemical oxide layer on its surface. 2nm of HfO2 are deposited onto this wafer by MOCVD with an Applied Material Centura (precursors TDEAH, O2). The annealing processes are made at low pressure (5 torr), with a N2 saturated atmosphere containing 1ppm of O2, at 450°C, 600°C, 750°C and 900°C for 60 seconds. The experiment is renewed with 2nm of TiN deposited on the top of the stack by RF-PVD with an Applied Material Endura tool at low temperature (< 50°C), in order to avoid any thermally activated parasitic reactions. All the samples are characterized with a ReVera VeraFlex II XPS tool as fast as possible after the annealing process. The results show that the annealing without the TiN metal has no noticeable effect with regard to oxygen diffusion, especially at the HfO2 – substrate interface. However as soon as TiN is deposited, the Si2p spectra show an increase of the characteristic signature of SiO2 after annealing at any temperature as shown on figure 1. Fig. 1 XPS spectroscopy of a) HfO2 (20A)/SiO2 (8A)/Si and b) TiN/HfO2 (20A)/SiO2 (8A)/Si (20A), stack after heat treatment in N2 for 60 seconds at various temperatures. Focus on the Si2p region
- Published
- 2013
44. Tetragonal Zirconia Stabilization by Metal Addition for MIM Capacitor Applications
- Author
-
Julien Ferrand, Virginie Beugin, Alexandre Crisci, Stéphane Coindeau, Simon Jeannot, Mickael Gros-Jean, and Elisabeth Blanquet
- Abstract
not Available.
- Published
- 2013
45. High-k Dielectrics (PE)ALD Deposition in 3D Architectures
- Author
-
Elisabeth Blanquet, Denis Monnier, Ioana Nuta, Fabien Volpi, Beatrice Doisneau, Stephane Coindeau, J. Roy, Blanka Detlefs, Y. Mi, Jorg Zegenhagen, C. Martinet, C. Wyon, and Mickael Gros-Jean
- Abstract
not Available.
- Published
- 2011
46. Effect of the Substrate Nature on the ALD ZrO2 Films Crystalline Structure
- Author
-
Denis Monnier, Mickael Gros-Jean, Emilie Deloffre, Béatrice Doisneau, Stéphane Coindeau, Alexandre Crisci, Jérôme Roy, Yanyu Mi, Blanka Detlefs, Jorg Zegenhagen, Christophe Wyon, Christine Martinet, Fabien Volpi, and Elisabeth Blanquet
- Abstract
not Available.
- Published
- 2009
47. Gaseous Phase Study of the Zr-Organometallic ALD Precursor TEMAZ by Mass Spectrometry
- Author
-
Mickael Gros-Jean, Christian Chatillon, Denis Monnier, Fabien Volpi, Ioana Nuta, and Elisabeth Blanquet
- Subjects
Materials science ,Renewable Energy, Sustainability and the Environment ,Phase (matter) ,Materials Chemistry ,Electrochemistry ,Analytical chemistry ,Condensed Matter Physics ,Mass spectrometry ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Published
- 2009
48. Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient
- Author
-
Marc Juhel, P. Caubet, C. Wyon, Jean Michailos, Philippe Bouvet, Rym Benaboud, Mickael Gros-Jean, Elisabeth Blanquet, Tom E. Blomberg, Blaise Iteprat, J.‐P. Gonchond, and C. Richard
- Subjects
Titanium carbide ,Materials science ,Hydrogen ,Renewable Energy, Sustainability and the Environment ,Analytical chemistry ,chemistry.chemical_element ,Condensed Matter Physics ,Chemical reaction ,Titanium nitride ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Atomic layer deposition ,chemistry ,Chemisorption ,Electrical resistivity and conductivity ,Materials Chemistry ,Electrochemistry ,Tin - Abstract
Titanium nitride (TiN) films were deposited using plasma-enhanced atomic layer deposition (PEALD) from the organometallic precursor tetrakis-dimethyl-amino-titanium (TDMAT) with hydrogen (H 2 ) as a coreactant. Low-resistivity values lying from 210 to 275 μΩ cm were achieved for 10 nm thick films deposited at low temperature: 150°C. The effects of temperature, plasma time, and plasma power were investigated. It was demonstrated that the chemical reaction is complementary and self-limiting. A minimum energy is necessary to reach the low-resistivity plateau. Chemical and physical properties of the films are also reported and a surface reaction mechanism is proposed. It is suggested that after TDMAT chemisorption to the surface, amines are removed by hydrogen radicals, and at the same time, titanium carbide bonds (Ti-C) are formed. The low resistivity results from the presence of Ti 2 C or Ti 2 N phases in the PEALD TiN film. The industrial viability of this process was also evaluated on 300 mm wafers. Good performances were obtained on wafer-to-wafer uniformity and step coverage, while some improvements related to the within-wafer uniformity are required.
- Published
- 2008
49. Method to assess the grain crystallographic orientation with a submicronic spatial resolution using Kelvin probe force microscope
- Author
-
Ahmad Bsiesy, Denis Mariolle, Mickael Gros-Jean, François Bertin, and Nicolas Gaillard
- Subjects
Kelvin probe force microscope ,Diffraction ,Condensed Matter::Materials Science ,Scanning probe microscopy ,Crystallography ,Thin layers ,Materials science ,Physics and Astronomy (miscellaneous) ,Orientation (computer vision) ,Work function ,Electron ,Image resolution - Abstract
In thin polycrystalline copper film, a direct correlation between the grain crystallographic orientation and the work function has been evidenced by comparing Kelvin probe force microscope (KFM) mapping and electron backscattered diffraction analysis performed over the same region. As a result, work function mapping provided by KFM technique can be used to assess the crystallographic properties of thin layers with a spatial resolution better than 100nm.
- Published
- 2006
50. In situ electric field simulation in metal/insulator/metal capacitors
- Author
-
Mickael Gros-Jean, Luc Pinzelli, Nicolas Gaillard, and Ahmad Bsiesy
- Subjects
In situ ,Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,Insulator (electricity) ,law.invention ,Metal ,Capacitor ,Transmission electron microscopy ,law ,visual_art ,Electric field ,Electrode ,visual_art.visual_art_medium ,Surface roughness ,Optoelectronics ,business - Abstract
The authors report in this letter the effect of interface topography on metal/insulator/metal (MIM) capacitor electrical properties. This analysis was carried out by numerical simulations of the electric field established in a MIM structure with a 45nm thick Ta2O5 film. The metal/insulator interface profiles have been extracted from transmission electron microscopy micrographs of a fully integrated device. This in situ approach allows direct comparison between electrical properties and numerical simulations performed on the same device. Results show that the bottom electrode’s surface roughness induces a large electric field increase at the interface which could explain MIM capacitor’s asymmetrical electrical behavior.
- Published
- 2006
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.