131 results on '"Steven Demuynck"'
Search Results
2. Conduction and Breakdown Mechanisms in Low-k Spacer and Nitride Spacer Dielectric Stacks in Middle of Line Interconnects.
- Author
-
Chen Wu, Adrian Vaisman Chasin, Steven Demuynck, Naoto Horiguchi, and Kris Croes
- Published
- 2020
- Full Text
- View/download PDF
3. Role of Defects in the Reliability of HfO2/Si-Based Spacer Dielectric Stacks for Local Interconnects.
- Author
-
Chen Wu, Adrian Vaisman Chasin, Andrea Padovani, Alicja Lesniewska, Steven Demuynck, and Kris Croes
- Published
- 2019
- Full Text
- View/download PDF
4. Challenges for spacer and source/drain cavity patterning in CFET devices
- Author
-
Geert Mannaert, Hans Mertens, Maryam Hosseini, Steven Demuynck, Vy Thi Hoang Nguyen, B.T. Chan, and Frédéric Lazzarino
- Published
- 2023
- Full Text
- View/download PDF
5. New methodology for modelling MOL TDDB coping with variability.
- Author
-
Philippe J. Roussel, Adrian Vaisman Chasin, Steven Demuynck, Naoto Horiguchi, Dimitri Linten, and Anda Mocuta
- Published
- 2018
- Full Text
- View/download PDF
6. Process Variation Analysis of Device Performance Using Virtual Fabrication: Methodology Demonstrated on a CMOS 14-nm FinFET Vehicle
- Author
-
Stenfan Kubicek, Tom Schram, Joseph Ervin, Benjamin Vincent, Raghu Hathwar, Jerome Mitard, Eugenio Dentoni Litta, Sylvain Baudot, Mattan Kamon, Steven Demuynck, Thomas Chiarella, Yong Kong Siew, and S. A. Chew
- Subjects
010302 applied physics ,Computer science ,Design of experiments ,Semiconductor device modeling ,Process variable ,Statistical process control ,01 natural sciences ,Subthreshold slope ,Electronic, Optical and Magnetic Materials ,Process variation ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Process window ,Virtual device ,Electrical and Electronic Engineering ,Simulation - Abstract
A new methodology is demonstrated to assess the impact of fabrication inherent process variability on 14-nm fin field effect transistor (FinFET) device performance. A model of a FinFET device was built using virtual device fabrication and testing. The model was subsequently calibrated on Design of Experiment corner case data that had been collected on a limited number of processed fab wafers. We then performed 400 virtual experiments comprising seven sources of process variation. Using this virtual fabrication technique, we were able to identify a minimum gate-to-source/drain spacer thickness for a high-temperature post-EPI rapid thermal anneal (RTA) anneal process that avoided device subthreshold slope penalties. The model allowed us to determine the optimal Si recess depth target and process window prior to source/drain epitaxy. We obtained these results by reviewing device performance as a function of statistical process sensitivity and highlighting key process parameters requiring variation control. These experiments would have been impractical to perform in an actual fab, due to the time, cost, and equipment requirements of running 400 fab-based process variation experiments for each process parameter. This methodology can be used to avoid wafer-based testing during early technology development.
- Published
- 2020
- Full Text
- View/download PDF
7. Buried Power Rail Integration With FinFETs for Ultimate CMOS Scaling
- Author
-
S. Paolillo, Guillaume Boccardi, N. Jourdan, Manoj Jaysankar, Zheng Tao, Sylvain Baudot, Geert Mannaert, Juergen Boemmels, T. Hopf, E. Capogreco, Shouhua Wang, Efrain Altamirano, E. Dupuy, Olalla Varela Pedreira, B. Briggs, Thomas Chiarella, Joris Cousserier, Sofie Mertens, Romain Ritzenthaler, Frank Holsteyns, C. Lorant, Goutham Arutchelvan, Ingrid Demonie, Steven Demuynck, K. Kenis, Xiuju Zhou, Anshul Gupta, F. Sebai, D. Radisic, Zsolt Tokei, Erik Rosseel, A. Sepulveda, Naoto Horiguchi, Christel Drijbooms, Antony Premkumar Peter, Haroen Debruyn, Nouredine Rassoul, Bilal Chehab, P. Morin, Boon Teik Chan, Christopher J. Wilson, Katia Devriendt, Noemie Bontemps, Frederic Lazzarino, Paola Favia, Lieve Teugels, D. Yakimets, F. Schleicher, Houman Zahedmanesh, Jerome Mitard, Min-Soo Kim, An De Keersgieter, Sujith Subramanian, Kevin Vandersmissen, Hans Mertens, Eugenio Dentoni Litta, and Yong Kong Siew
- Subjects
010302 applied physics ,Materials science ,business.industry ,chemistry.chemical_element ,Dielectric ,Tungsten ,01 natural sciences ,Electromigration ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry ,CMOS ,law ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,Tin ,business ,Spark plug ,Critical dimension ,Scaling - Abstract
Buried power rail (BPR) is a key scaling booster for CMOS extension beyond the 5-nm node. This work demonstrates, for the first time, the integration of tungsten (W) BPR lines with Si finFETs. BPR technology requires insertion of metal in the front-end-of-line (FEOL) stack. This poses risks of stack deformation and device degradation due to metal-induced stress and contamination. To assess the stack deformation, we demonstrate W-BPR lines which can withstand source/drain activation anneal at 1000 °C, 1.5 s, without adversely impacting the stack morphology. To address the contamination risk, we demonstrate a BPR process module with controlled W recess and void-free dielectric plug formation which keeps the W-line fully encapsulated during downstream FEOL processing. Suitable choice of BPR metal such as W with high melting point which does not diffuse into dielectrics also minimizes the risk of contamination. To assess the device degradation, simulations are carried out showing negligible stress transfer from BPR to the channel. This is experimentally validated when no systematic difference in the dc characteristics of CMOS without BPR versus those in close proximity to floating W-BPR lines is observed. Additionally, the resistance of the recessed W-BPR line is measured $\sim 120~\Omega /\mu \text{m}$ for critical dimension (CD) ~32 nm and height ~122 nm. The recessed W-BPR interface with Ru 3-nm TiN liner via contact can withstand more than 1000 h of electromigration (EM) stress at 6.6 MA/cm2 and 330 °C, making Ru a candidate for via metallization to achieve low resistance contact strategy to BPR.
- Published
- 2020
- Full Text
- View/download PDF
8. Patterning challenges and opportunities in nanosheet device architectures
- Author
-
Naoto Horiguchi, Basoene Briggs, Boon Teik Chan, Steven Demuynck, Maryam Hosseini, Geert Mannaert, Hans Mertens, Yusuke Oniki, Sujith Subramanian, and Zheng Tao
- Published
- 2022
- Full Text
- View/download PDF
9. Buried power rail integration for CMOS scaling beyond the 3 nm node
- Author
-
Anshul Gupta, Zheng Tao, Dunja Radisic, Hans Mertens, Olalla Varela Pedreira, Steven Demuynck, Juergen Boemmels, Katia Devriendt, Nancy Heylen, Shouhua Wang, Karine Kenis, Lieve Teugels, Farid Sebaai, Christophe Lorant, Nicolas Jourdan, Boon Teik Chan, Sujith Subramanian, Filip Schleicher, Antony Peter, Nouredine Rassoul, Yong Kong Siew, Basoene Briggs, Dasiy Zhou, Erik Rosseel, Elena Capogreco, Geert Mannaert, Alfonso Sepúlveda Márquez, Emmanuel Dupuy, Kevin Vandersmissen, Bilal Chehab, Gayle Murdoch, Efrain Altamirano-Sánchez, Serge Biesemans, Zsolt Tokei, Eugenio Dentoni Litta, and Naoto Horiguchi
- Published
- 2022
- Full Text
- View/download PDF
10. Channel Length Dependence of PBTI in High-k First RMG Gate Stack Integration Scheme
- Author
-
Narendra Parihar, Goutham Arutchelvan, Jacopo Franco, Sylvain Baudot, Ann Opedebeeck, Steven Demuynck, Hiroaki Arimura, Lars-Ake Ragnarsson, Jerome Mitard, Vincent De Heyn, and Abdelkarim Mercha
- Published
- 2021
- Full Text
- View/download PDF
11. Reliability of Barrierless PVD Mo
- Author
-
Maryamsadat Hosseini, Eugenio Dentoni Litta, M. H. van der Veen, Naoto Horiguchi, Zs. Tokei, A. Dangol, Davide Tierno, Steven Demuynck, and K. Croes
- Subjects
Capacitor ,Reliability (semiconductor) ,Materials science ,Silicon ,chemistry ,business.industry ,law ,chemistry.chemical_element ,Optoelectronics ,Time-dependent gate oxide breakdown ,Dielectric ,business ,law.invention - Abstract
We evaluate the reliability of barrierless Mo metallization on various dielectrics that are used in both BEOL and MOL integration schemes. In particular, we assess the risk of metal drift-induced failure in SiO 2 , LK3.0, SiCO and Si 3 N 4 films by performing TDDB measurements on MIM planar capacitors. We show that Mo does not drift in SiO 2 , LK3.0, and SiCO. Despite a thoroughly failure analysis no definitive conclusion could be reached for the Si 3 N 4 films.
- Published
- 2021
- Full Text
- View/download PDF
12. Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes
- Author
-
Anshul Gupta, Yosuke Kimura, Thierry Conard, Dmitry Batuk, Briggs Basoene, Johan Meersschaut, Sylvain Baudot, Jef Geypen, Ebisudani Taishi, Gerardo Martinez, Timothee Blanquart, Praveen Dara, A. Peter, Steven Demuynck, Pierre Morin, Anabela Veloso, Elena Capogreco, Takayama Tomomi, Hans Mertens, Shiba Eiichiro, A. Sepúlveda, and Sujith Subramanian
- Subjects
Technology ,Materials science ,Materials Science ,Nucleation ,Blanket ,Physics, Applied ,Atomic layer deposition ,THIN-FILMS ,Materials Science, Coatings & Films ,TEMPERATURE ,Deposition (law) ,Science & Technology ,PLASMA ,CHALLENGES ,business.industry ,Physics ,Surfaces and Interfaces ,Plasma ,Condensed Matter Physics ,Aspect ratio (image) ,Surfaces, Coatings and Films ,AMORPHOUS-SILICON NITRIDE ,Nanoelectronics ,OXIDATION RESISTANCE ,DENSITY ,Physical Sciences ,Optoelectronics ,Dry etching ,MICROSTRUCTURE ,business ,ATOMIC LAYER DEPOSITION ,DIOXIDE - Abstract
In this study, we explored the key properties and functionalities of plasma enhanced atomic layer deposition (PEALD) SiNx films, synthesized using different deposition temperatures (500–550 °C) and plasma conditions (lower and higher), both on 300 mm blanket Si and on several integrated 3D topology substrates, at the thicknesses relevant for diverse nanoscale applications. Our study shows that with an increase of temperature (500–550 °C), a small reduction in HF wet etch rate (1.1–0.69 nm/min), and H content (9.6% vs 7.4%) was observed. When using higher plasmas, significant improvements in blanket properties were observed. The films were denser (2.95 g/cm3), exhibited lower H content (2.4%), showed better etch rates (0.39 and 0.44 nm/s for HF and CF4 based), and SiNx grew without any nucleation delay on alternative Si1−xGex channel surfaces. The vertical and lateral conformality was found to be similar and appears not to be impacted with the plasma conditions. Extensive steam oxidation barrier studies performed at the sidewalls of different aspect ratio lines showed the PEALD SiNx liner scaling potentiality down to 1 nm when deposited using higher plasma. In addition, the outer gate and inner spacer properties were found to be superior (with lower loses) for higher plasma films when subjected to several dry etch, strips, and H3PO4 chemistries. The outstanding conformality (90%–95% on aspect ratios ≤10:1) combined with excellent high end material properties in the ultrathin regimes (1–10 nm) corroborate the virtue of PEALD SiNx toward integration in scaled down and advanced nanoelectronics device manufacturing.
- Published
- 2021
13. Buried Power Rail Scaling and Metal Assessment for the 3 nm Node and Beyond
- Author
-
P. Morin, Julien Ryckaert, Doyoung Jang, Lieve Teugels, Efrain Altamirano-Sanchez, M. H. Na, Jürgen Bömmels, F. Schleicher, S. Wang, A. Sepúlveda, Serge Biesemans, C. Lorant, I. Demonie, Gayle Murdoch, E. Dentoni Litta, A. Lesniewska, Zsolt Tokei, Bilal Chehab, Farid Sebaai, Antony Premkumar Peter, N. Nagesh, Naoto Horiguchi, Frederic Lazzarino, Boon Teik Chan, Geert Hellings, O. Varela Pedreira, N. Jourdan, D. Radisic, O. Richard, Z. Tao, Hans Mertens, P. Marien, Anshul Gupta, Nancy Heylen, Steven Demuynck, and Katia Devriendt
- Subjects
Materials science ,business.industry ,Annealing (metallurgy) ,chemistry.chemical_element ,Dielectric ,Electromigration ,law.invention ,Fin (extended surface) ,chemistry ,law ,Optoelectronics ,Node (circuits) ,business ,Tin ,Spark plug ,Scaling - Abstract
This paper reports BPR/Via-to-BPR (VBPR) module development at 24nm fin pitch (FP) / 42nm contacted gate pitch (CPP), and W and Ru-BPR and Ru- Contact-to-Active (M0A)/VBPR resistance (R) & electromigration (EM). BPR dielectric barrier, BPR plug barrier, and fin reveal are optimized to enable BPR scaling. A self-aligned VBPR etch is also demonstrated by Q-ALE process. Ru-BPR meets BPR line R target target 1100 h at 5 MA/cm2 at 330 °C.
- Published
- 2020
- Full Text
- View/download PDF
14. Buried Power Rail Integration with Si FinFETs for CMOS Scaling beyond the 5 nm Node
- Author
-
N. Jourdan, Katia Devriendt, E. Dupuy, Hans Mertens, S. Paolillo, Guillaume Boccardi, F. Schleicher, E. Sanchez, Romain Ritzenthaler, Frank Holsteyns, Z. Tao, Sylvain Baudot, Sofie Mertens, Haroen Debruyn, Kevin Vandersmissen, Thomas Chiarella, P. Morin, Antony Premkumar Peter, Anshul Gupta, Erik Rosseel, Min-Soo Kim, Nouredine Rassoul, Boon Teik Chan, Christopher J. Wilson, D. Radisic, Lieve Teugels, A. De Keersgieter, D. Yakimets, I. Demonie, N. Bontemps, C. Drijbooms, Sujith Subramanian, Bilal Chehab, Paola Favia, C. Lorant, Farid Sebaai, Steven Demuynck, Frederic Lazzarino, E. Dentoni Litta, G. Mannaert, Houman Zahedmanesh, Yong Kong Siew, J. Cousserier, T. Hopf, B. Briggs, Manoj Jaysankar, Jerome Mitard, K. Kenis, A. Sepúlveda, S. Wang, Naoto Horiguchi, Goutham Arutchelvan, E. Capogreco, O. Varela Pedreira, D. Zhou, Jürgen Bömmels, and Zsolt Tokei
- Subjects
010302 applied physics ,Materials science ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,Tungsten ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electromigration ,Cmos scaling ,CMOS ,chemistry ,Booster (electric power) ,Logic gate ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Low resistance ,Scaling - Abstract
Buried power rail (BPR) is a key scaling booster for CMOS extension beyond the 5 nm node. This paper demonstrates, for the first time, the integration of tungsten (W) BPR lines with Si finFETs. The characteristics of CMOS in close proximity to floating BPR are found to be similar to the characteristics of CMOS without BPR. Moreover, W-BPR interface with Ru via contact can withstand more than 320 h of electromigration (EM) stress at 4 MA/cm 2 and 330°C, making Ru a candidate for via metallization to achieve low resistance contact strategy to BPR.
- Published
- 2020
- Full Text
- View/download PDF
15. 3D Sequential Low Temperature Top Tier Devices using Dopant Activation with Excimer Laser Anneal and Strained Silicon as Performance Boosters
- Author
-
Philippe Matagne, Gweltaz Gaudin, Katia Devriendt, Narendra Parihar, Anne Vandooren, Toshiyuki Tabata, Haroen Debruyn, Jacopo Franco, Erik Rosseel, Andriy Hikavyy, D. Radisic, Iuliana Radu, Naoto Horiguchi, A. Alvarez, Bertrand Parvais, E. Vecchio, Fulvio Mazzamuto, Bich-Yen Nguyen, G. Besnard, K. Huet, Juergen Boemmels, G. Mannaert, Boon Teik Chan, Lieve Teugels, Nadine Collaert, Jerome Mitard, Niamh Waldron, Steven Demuynck, Walter Schwarzenbach, Z. Wu, Faculty of Economic and Social Sciences and Solvay Business School, Electronics and Informatics, Faculty of Medicine and Pharmacy, Human Physiology and Special Physiology of Physical Education, and Vriendenkring VUB
- Subjects
010302 applied physics ,Materials science ,Dopant ,Silicon ,Excimer laser ,business.industry ,medicine.medical_treatment ,chemistry.chemical_element ,Strained silicon ,02 engineering and technology ,Dopant Activation ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,law.invention ,chemistry ,law ,0103 physical sciences ,medicine ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Metal gate ,NMOS logic - Abstract
Top tier devices in a 3D sequential integration are optimized using a low temperature process flow $( . Bi-axial tensile strained silicon is transferred without strain relaxation to boost the top tier nmos device performance by 40-50% over the unstrained silicon devices, recovering the performance loss from the low temperature processing when using extension-less device integration. Excimer laser anneal is also shown to effectively activate both n-type and p-type dopants in the extension of thin silicon film devices using optimized, CMOS compatible, laser exposure conditions. Laser anneal is fully compatible with a replacement metal gate (RMG) process flow and with selective source/drain (SD) epitaxy. The dopant activation level is preserved during the entire process flow which results in similar $\mathrm{I}_{\mathrm{on}}-\mathrm{I}_{\mathrm{off}}$ device performance for devices with laser and spike anneals. Excimer laser anneal benefits also from improved control short channel effects over spike annealing due to low dopant diffusion.
- Published
- 2020
- Full Text
- View/download PDF
16. First Monolithic Integration of 3D Complementary FET (CFET) on 300mm Wafers
- Author
-
P. Schuddinck, J. Hung, Sylvain Baudot, Yong Kong Siew, D. Batuk, P. Morin, X. Zhou, R. Koret, E. Capogreco, E. Dentoni Litta, S. Subramanian, G. Mannaert, Farid Sebaai, Naoto Horiguchi, Alessio Spessot, Maryamsadat Hosseini, Thomas Chiarella, T. Hopf, D. Radisic, Antony Premkumar Peter, Andriy Hikavyy, G. T. Martinez, Boon Teik Chan, B. Briggs, S. Sarkar, Anabela Veloso, S. Wang, Steven Demuynck, Katia Devriendt, Erik Rosseel, Julien Ryckaert, and Juergen Boemmels
- Subjects
Fabrication ,business.industry ,Computer science ,PMOS logic ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Wafer ,Field-effect transistor ,Parasitic extraction ,business ,NMOS logic - Abstract
We report the first monolithic integration of 3D Complementary Field Effect Transistor (CFET) on 300mm wafers using imec's N14 platform. A monolithic CFET process is cost effective compared to a sequential CFET process. The small N/P separation in a monolithic CFET results in lower parasitics and higher performance gains. In this paper, using a CFET fabrication process flow, we demonstrate functional PMOS FinFET bottom devices and NMOS nanosheet FET top devices. Process development of all the critical modules to enable these devices are presented. Monolithic CFET integration scheme could enable the ultimate device footprint scaling required in future technology nodes.
- Published
- 2020
- Full Text
- View/download PDF
17. Virtual Process-Based Spacer & Junction Optimization for an Inverter Circuit
- Author
-
Tom Schram, P. Meijer, P. Schuddinck, Steven Demuynck, and S. Guissi
- Subjects
010302 applied physics ,Materials science ,business.industry ,Transistor ,Elmore delay ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,PMOS logic ,CMOS ,law ,0103 physical sciences ,Optoelectronics ,Figure of merit ,Inverter ,Process window ,0210 nano-technology ,business ,NMOS logic - Abstract
An inverter is a basic electronic device used repeatedly in larger circuitry. The performance and overall power handling depend on the design of the $\pmb{N}$ and PMOS transistors and on the circuit itself. In our study, we model a representative 24 nm fin pitch and 42 nm gate pitch inverter using Coventor SEMulator3D® Virtual Platform. We have investigated an initially deposited spacer thickness process window of 3 nm to 10 nm and a gate width variation from 14 nm to 18 nm. DC performance calculated using drift diffusion, was optimized for junction anneal time, considering a figure of merit using $\pmb{I}_{on}$ & DIBL for both NMOS & PMOS. AC performance was evaluated with an Elmore delay model, using the drift diffusion-based DC data combined with RC netlist data. Circuit speed gain is obtained with gate length scaling down to 14 nm, but lack of junction abruptness limits the benefit of deposited spacer scaling below 7 nm.
- Published
- 2020
- Full Text
- View/download PDF
18. (Invited) Gate-All-Around Transistors Based on Vertically Stacked Si Nanowires
- Author
-
Geert Mannaert, Lars-Ake Ragnarsson, Els Van Besien, A. Dangol, Adrian Chasin, Diana Tsvetanova, Soon Aik Chew, S. Kubicek, Romain Ritzenthaler, Harold Dekkers, Andriy Hikavyy, Dan Mocuta, Hans Mertens, Naoto Horiguchi, Yoshiaki Kikuchi, Tom Schram, Erik Rosseel, An De Keersgieter, Zheng Tao, Kathy Barla, Katia Devriendt, Eddy Kunnen, Toby Hopf, Min-Soo Kim, Kurt Wostyn, and Steven Demuynck
- Subjects
Materials science ,CMOS ,law ,business.industry ,Transistor ,Nanowire ,Optoelectronics ,Metal gate ,business ,Algorithm ,Threshold voltage ,law.invention - Abstract
Gate-all-around (GAA) transistors based on vertically stacked horizontal nanowires are promising candidates to replace FinFETs in future CMOS technology nodes. First of all, GAA devices provide optimal electrostatic control over semiconducting nanowire channels, which enables downscaling of the gate length to below the FinFET limit, while maintaining low off-state leakage [1]. Besides, horizontally oriented nanowires are an evolutionary extension of FinFETs, as opposed to vertical nanowires which require more disruptive technology and design changes [2]. Finally, stacking of nanowires is relevant for enhancing the drive current per footprint. Based on these considerations, GAA transistors made of vertically stacked horizontal nanowires have been included in the ITRS roadmap to reduce the contacted gate pitch, which is a key figure of merit for CMOS device density, to below ~40 nm in 2019-2021 [3]. In the context of the industrial relevance described above, we present the fabrication of Si GAA devices on bulk Si substrates. Multiple processing aspects that are relevant for bulk CMOS technology definition are addressed, including stacking of 8-nm-diameter Si wires at 45-nm lateral pitch and 20-nm vertical pitch [4], and nanowire-compatible replacement metal gate processing in combination with threshold voltage tuning by dual work function metal integration [5]. Temperature restrictions for the formation of shallow trench isolation, and the interaction between N- and P-type junction formation on one hand and nanowire release processes on the other hand are discussed as well. [1] K. J. Kuhn, IEEE Trans. Electron Devices, vol. 59 (7), p.1813, (2012). [2] L. Liebmann et al., VLSI Tech. Dig., p.112 (2016). [3] The International Roadmap for Semiconductors (ITRS) 2.0, http://www.itrs2.net/ (2015). [4] H. Mertens et al., VLSI Tech. Dig., p.158 (2016). [5] H. Mertens et al., IEDM Tech. Dig., p.524 (2016). Figure 1
- Published
- 2017
- Full Text
- View/download PDF
19. Ge oxide scavenging and gate stack nitridation for strained Si0.7Ge0.3 pFinFETs enabling 35% higher mobility than Si
- Author
-
Steven Demuynck, J. Franco, Kurt Wostyn, L.-A. Ragnarsson, Thierry Conard, Stephan Brus, Paola Favia, Naoto Horiguchi, Jerome Mitard, E. Capogreco, Hiroaki Arimura, and Adrian Chasin
- Subjects
Interface layer ,Materials science ,business.industry ,Oxide ,02 engineering and technology ,Dielectric ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,chemistry.chemical_compound ,Stack (abstract data type) ,chemistry ,Optoelectronics ,Work function ,Wafer ,0210 nano-technology ,business ,Scavenging ,AND gate - Abstract
We demonstrate multiple ways to reduce the D IT of Si-cap-free low-Ge-content (25-30%) SiGe gate stack. The D IT is reduced by i) Ge oxide scavenging via Ge condensation or by the work function metal (WFM), ii) nitridation of gate dielectrics and iii) optimized high-pressure anneal (HPA). A moderate nitridation of the interface layer (IL) is beneficial in EOT and D IT reduction, while nitridation of the HfO 2 dramatically reduces D IT by negating an ALD TiN-induced D IT increase. The optimized gate stack is evaluated in 8-nm-wide strained Si 0 7 Ge 0 3 pFinFETs integrated on 300 mm Si wafers, for which a 35% improvement in high-field mobility is demonstrated as compared to Si pFinFET counterparts.
- Published
- 2019
- Full Text
- View/download PDF
20. High performance strained Germanium Gate All Around p-channel devices with excellent electrostatic control for sub-Jtlnm LG
- Author
-
A. De Keersgieter, Dan Mocuta, L.-A. Ragnarsson, Daniil Marinov, Robert Langer, E. Dupuy, Roger Loo, Yong Kong Siew, Andriy Hikavyy, G. Mannaert, Anurag Vohra, Liesbeth Witters, Nadine Collaert, Farid Sebaai, V. De Heyn, Hiroaki Arimura, E. Capogreco, Kathy Barla, Christa Vrancken, A. Opdebeeck, F. Holstetns, Steven Demuynck, Naoto Horiguchi, Jerome Mitard, E. Altamirano Sanchez, and Clement Porret
- Subjects
010302 applied physics ,Physics ,P channel ,chemistry ,0103 physical sciences ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,021001 nanoscience & nanotechnology ,0210 nano-technology ,01 natural sciences ,Scaling ,Molecular physics - Abstract
This paper demonstrates high performance strained p-type double stacked Ge Gate-AlI-Around (GAA) devices at significantly reduced gate lengths $(\text{L}_{\text{G}}\sim 25\text{nm})$ compared to our previous work. Excellent electrostatic control is maintained down to $\text{L}_{\text{G}}=25$ nm by using extension-less scheme, while the performance is kept by appropriate spacer scaling and implementation of highly B-doped Ge or GeSn as source/drain (S/D) material.
- Published
- 2019
- Full Text
- View/download PDF
21. Buried metal line compatible with 3D sequential integration for top tier planar devices dynamic Vth tuning and RF shielding applications
- Author
-
B. Parvais, G. Besnard, T. Zheng, Anne Vandooren, W. Li, Erik Rosseel, Julien Ryckaert, Nadine Collaert, Boon Teik Chan, Dan Mocuta, Nancy Heylen, E. Vecchio, Lan Peng, Juergen Boemmels, Liesbeth Witters, Steven Demuynck, Iuliana Radu, A. Khaled, G. Jamieson, Niamh Waldron, Philippe Matagne, Nouredine Rassoul, V. De Heyn, Amey Mahadev Walke, Gweltaz Gaudin, Walter Schwarzenbach, D. Radisic, Z. Wu, Katia Devriendt, Haroen Debruyn, Fumihiro Inoue, Bich-Yen Nguyen, Andriy Hikavyy, W. Vanherle, J. Franco, Lieve Teugels, Faculty of Economic and Social Sciences and Solvay Business School, Electronics and Informatics, Faculty of Engineering, Faculty of Medicine and Pharmacy, Human Physiology and Special Physiology of Physical Education, and Vriendenkring VUB
- Subjects
010302 applied physics ,Materials science ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Line (electrical engineering) ,Threshold voltage ,Reduction (complexity) ,Reliability (semiconductor) ,Planar ,0103 physical sciences ,Thermal ,Electromagnetic shielding ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,NMOS logic - Abstract
3D sequential integration is shown to be compatible with a back gate implementation suitable for dynamic V th tuning of the FDSOI top tier devices. The back gate is inserted seamlessly into the 3D sequential process flow during the top Si layer transfer, providing a close proximity to the top tier device, as well as a uniform and high quality thermal back oxide. A threshold voltage tuning of ~103mV/V and ~139mV/V is obtained in p-and nMOS top tier junction-less devices, respectively, over a back gate bias range of +/-2V. BTI reliability measurements show no detrimental impact of the back gate bias. Back-gating can therefore be used to enhance the $I_{ON}$ performance with no reliability penalty. The buried metal line is also shown to lower crosstalk by metal shielding insertion between top and bottom tier metal lines, with a reduction larger than 10dB up to 45GHz.
- Published
- 2019
- Full Text
- View/download PDF
22. Titanium Silicide on Si:P With Precontact Amorphization Implantation Treatment: Contact Resistivity Approaching $1 \times 10^{-9}$ Ohm-cm2
- Author
-
Kristin De Meyer, Nadine Collaert, Daeyong Kim, Marc Schaekers, Naoto Horiguchi, Soon Aik Chew, Kathy Barla, Jean-Luc Everaert, Geoffrey Pourtois, Erik Rosseel, Steven Demuynck, Anda Mocuta, Keo Myoung Shin, Hao Yu, Anthony P Peter, Aaron Thean, Joon-Gon Lee, and Woo-Bin Song
- Subjects
010302 applied physics ,Materials science ,Condensed matter physics ,business.industry ,Schottky barrier ,Contact resistance ,Metallurgy ,Alloy ,02 engineering and technology ,engineering.material ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,Semiconductor ,Electrical resistivity and conductivity ,0103 physical sciences ,engineering ,Crystallite ,Electrical and Electronic Engineering ,0210 nano-technology ,Contact area ,business - Abstract
In recent CMOS technology, extreme shrinking of contact area at source/drain regions raises serious concerns of high metal/semiconductor contact resistance. Confronting this problem, we introduce a precontact amorphization implantation plus Ti silicidation technique (PCAI + TiSi x ) and achieve ultralow contact resistivity ( $\rho _{c}$ ) of (1.3 – 1.5) $\times 10^{-9} ~\Omega \cdot \text {cm}^{2}$ on Si:P. This PCAI + TiSi x technique utilizes light amorphization (low-energy implantation), thin Ti and TiSi x film, and moderate thermal budget (500 °C –550 °C): these features are compatible with modern CMOS manufacturing. Moreover, the PCAI + TiSi x -induced $\rho _{c}$ reduction is proved universal on both n- and p-Si. With additional characterizations, we find that the silicidation-induced $\rho _{c}$ variation is not merely a Schottky barrier height tuning effect. The electrical and physical characterizations suggest that the low $\rho _{c}$ is strongly correlated with the formation of interfacial TiSi x crystallites between amorphous TiSi alloy and Si.
- Published
- 2016
- Full Text
- View/download PDF
23. Electrical Characteristics of p-Type Bulk Si Fin Field-Effect Transistor Using Solid-Source Doping With 1-nm Phosphosilicate Glass
- Author
-
S. A. Chew, Zheng Tao, Naoto Horiguchi, Min-Soo Kim, S. Kubicek, Yoshiaki Kikuchi, A. Peter, D. De Roest, Steven Demuynck, Dan Mocuta, Karine Kenis, E. Van Besien, Anda Mocuta, Patrick Ong, A. De Keersgieter, T. Chiarella, Timothee Julien Vincent Blanquart, and Tom Schram
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,Silicon ,business.industry ,Transconductance ,Doping ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,Secondary ion mass spectrometry ,chemistry ,Impurity ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Phosphosilicate glass - Abstract
For scaling of bulk Si Fin field-effect transistor (FinFET), suppression of short-channel effects is required without ON-state current degradation. In this letter, solid-source doping for channel doping using 1-nm phosphosilicate glass was demonstrated on both p-type (100) Si substrate and p-type bulk Si FinFET. The profile of phosphorus in p-type (100) Si substrate was analyzed by secondary ion mass spectrometry and it was diffused deeper with higher thermal budget of anneal. Fabricated bulk Si FinFETs with using 1-nm phosphosilicate glass showed threshold voltage shift with several anneals at 1- $\mu \text{m}$ and 70-nm gate lengths. Hole mobility at 1- $\mu \text{m}$ gate length and transconductance at 70-nm gate length were also reduced due to increase in impurity concentration of phosphorus diffused by anneals into Fins. Phosphorus diffusion into Fins with using 1-nm phosphosilicate glass was investigated and phosphorus behavior after anneal was clarified by electrical data of p-type bulk Si FinFETs.
- Published
- 2016
- Full Text
- View/download PDF
24. Thermal Stability Concern of Metal-Insulator-Semiconductor Contact: A Case Study of Ti/TiO2/n-Si Contact
- Author
-
Kathy Barla, Aaron Thean, Steven Demuynck, Hao Yu, Tom Schram, Nadine Collaert, Marc Schaekers, Kristin De Meyer, and Naoto Horiguchi
- Subjects
010302 applied physics ,Materials science ,Condensed matter physics ,Silicon ,business.industry ,chemistry.chemical_element ,Insulator (electricity) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Metal ,Semiconductor ,chemistry ,Electrical resistivity and conductivity ,visual_art ,0103 physical sciences ,Thermal ,visual_art.visual_art_medium ,Electronic engineering ,Thermal stability ,Electrical and Electronic Engineering ,Metal insulator ,0210 nano-technology ,business - Abstract
This work discusses the thermal stability of metal-insulator-semiconductor (MIS) contacts. A case study is performed on a typical low-Schottky barrier height ( $q\varphi _{b})$ MIS contact: Ti/TiO2/n-Si. By incorporating different levels of donor concentration in n-Si, we perform a systematic Ti/TiO2/n-Si thermal stability study under different electron conduction mechanisms. We find that both $q\varphi _{b}$ and contact resistivity ( $\rho _{c})$ of the Ti/TiO2/n-Si MIS contacts vary dramatically after mere 300 °C–500 °C 1-min rapid thermal treatments. The variations in $q\varphi _{b}$ and $\rho _{c}$ are related to the thermally driven TiO2 decomposition. This thermal stability study of Ti/TiO2/n-Si reveals a general concern for the MIS contact application: since the MIS contacts on n-type semiconductor generally utilize a reactive low-work function metal and an ultrathin insulator, it is difficult to maintain their interface quality considering the thermal budget in standard manufacturing of integrated circuits. Possible solutions to this MIS thermal stability issue are discussed.
- Published
- 2016
- Full Text
- View/download PDF
25. Self-aligned fin cut last patterning scheme for fin arrays of 24nm pitch and beyond
- Author
-
Joseph Ervin, Toby Hopf, S. Baudot, A. Soussou, Benjamin Vincent, Pieter Weckx, Steven Demuynck, A. P. Milenin, and S. Wang
- Subjects
Materials science ,Fin ,business.industry ,Flow (psychology) ,Process (computing) ,02 engineering and technology ,Overlay ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Sensitivity (control systems) ,Static random-access memory ,Process simulation ,0210 nano-technology ,business ,Scaling - Abstract
In 5 nm FinFET technology and beyond, SRAM cell size reduction to 6 tracks is required with a fin pitch of 24 nm. Fin depopulation is mandatory to enable the area scaling, but it becomes challenging at small pitches. In the first part, each process flow is simulated in order to obtain a 3D model of a FinFET SRAM device. Layout dependent effects on silicon and process non-idealities are characterized in a second part and used to calibrate the 3D model. In the third part, a process sensitivity analysis is conducted to compare the impact of overlay and CD variations on various options.
- Published
- 2019
- Full Text
- View/download PDF
26. First Demonstration of 3D stacked Finfets at a 45nm fin pitch and 110nm gate pitch technology on 300mm wafers
- Author
-
Liesbeth Witters, Z. Wu, Anne Vandooren, G. Mannaert, Nadine Collaert, E. Vecchio, Lars-Ake Ragnarsson, Romain Ritzenthaler, Niamh Waldron, V. De Heyn, Jerome Mitard, Nouredine Rassoul, Boon Teik Chan, Dan Mocuta, Bertrand Parvais, Veeresh Deshpande, Fumihiro Inoue, Lan Peng, Andriy Hikavyy, G. Jamieson, J. Franco, W. Vanherle, Lieve Teugels, T. Zheng, W. Li, Amey Mahadev Walke, Katia Devriendt, Erik Rosseel, Julien Ryckaert, Nancy Heylen, Steven Demuynck, Geert Hellings, Juergen Boemmels, Faculty of Economic and Social Sciences and Solvay Business School, Electronics and Informatics, Faculty of Engineering, Faculty of Medicine and Pharmacy, Human Physiology and Special Physiology of Physical Education, and Vriendenkring VUB
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Stacking ,chemistry.chemical_element ,02 engineering and technology ,Dielectric ,Condensed Matter Physics ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,Dipole ,chemistry ,0103 physical sciences ,Materials Chemistry ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,0210 nano-technology ,Tin ,business ,Immersion lithography - Abstract
3 Dstacking using a sequential integration approach is demonstrated for finfet devices on 300mm wafers at a 45nm fin pitch and 110nm poly pitch technology. This demonstrates the compatibility of the 3D sequential approach for aggressive device density stacking at advanced nodes thanks to the tight alignment precision of the first processed top layer to the last processed bottom layer through the top silicon channel and bonding stack during 193nm immersion lithography. The top devices are junction-less devices fabricated at low temperature $(\mathrm{T}\leq 525^{\circ}\mathrm{C})$ in a top Si layer transferred by wafer-to-wafer bonding with a bonding dielectric stack down to 170nm. The top devices offer similar performance as the high temperature bulk finfet technology for LSTP applications. The use of TiN/TiA1/TiN/HfO 2 gate stack provides the proper threshold voltage adjustment while the insertion of the LaSiO x dipole improves device performance and brings the BTI reliability within specification at low temperature.
- Published
- 2018
- Full Text
- View/download PDF
27. The Complementary FET (CFET) for CMOS scaling beyond N3
- Author
-
Naoto Horiguchi, P. Schuddinck, Jeffrey Smith, J. Ryckaert, Dan Mocuta, Pieter Weckx, Anda Mocuta, T. Huynh Bao, Steven Demuynck, Arindam Mallik, G. Bouche, Benjamin Vincent, Anabela Veloso, Hans Mertens, Juergen Boemmels, and Yasser Sherazi
- Subjects
010302 applied physics ,Computer science ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Cmos scaling ,Design for manufacturability ,Power (physics) ,Logic gate ,0103 physical sciences ,Parasitic element ,Electronic engineering ,Static random-access memory ,Routing (electronic design automation) ,0210 nano-technology ,Scaling - Abstract
The complementary FET (CFET) device consisting of a stacked n-type vertical sheet on a p-type fin is evaluated in a design-technology co-optimization (DTCO) framework. Through a double level access it offers a structural scaling of both standard cells (SDC) and SRAM by 50%. The proposed process flow requires accurate control of the elevation dimension for manufacturability. Based on TCAD analysis, the CFET can eventually outperform the finFET device and meet the N3 targets in power and performance. To achieve that, the dominating parasitic resistance of the deep vias needs to be reduced by the introduction of advanced MOL contacts featuring thin barriers.
- Published
- 2018
- Full Text
- View/download PDF
28. Replacement Metal Contact Using Sacrificial ILD0 for Wrap Around Contact in Scaled FinFET Technology
- Author
-
Katia Devriendt, T. Hopf, Naoto Horiguchi, Antoine Pacco, Lieve Teugels, Dan Mocuta, Steven Demuynck, E. Altamirano Sanchez, Christa Vrancken, A. Dangol, S-A. Chew, Liping Zhang, and J. Versluijs
- Subjects
Materials science ,Flow (psychology) ,Contact resistance ,law.invention ,PMOS logic ,Metal ,law ,visual_art ,Wrap around ,visual_art.visual_art_medium ,Composite material ,Spark plug ,Contact formation ,NMOS logic - Abstract
In this work, we propose replacement metal contact (RMC) flow by using sacrificial ILD0 that is suitable for wrap around contact (WAC). RMC minimize erosion of gate plug, spacer and S/D area at scaled contact formation. The concept of the flow has been demonstrated in short loop flow with ~50% contact resistance improvement for both NMOS, Si:P and PMOS, SiGe:B.
- Published
- 2018
- Full Text
- View/download PDF
29. Enabling CMOS Scaling Towards 3nm and Beyond
- Author
-
Anda Mocuta, Steven Demuynck, Y. Oniki, Julien Ryckaert, Pieter Weckx, and D. Radisic
- Subjects
010302 applied physics ,Standard cell ,business.industry ,Computer science ,Transistor ,01 natural sciences ,Cmos scaling ,law.invention ,CMOS ,law ,Logic gate ,0103 physical sciences ,Node (circuits) ,Static random-access memory ,business ,Scaling ,Computer hardware - Abstract
We look at several scaling boosters necessary to accomplish CMOS area scaling towards the 2nm node. We consider aspects of standard cell area scaling, transistor architecture, SRAM, and BEOL. We also demonstrate integrated flows and hardware feasibility for such scaling boosters.
- Published
- 2018
- Full Text
- View/download PDF
30. Vertically stacked gate-all-around Si nanowire transistors: Key Process Optimizations and Ring Oscillator Demonstration
- Author
-
Hans Mertens, Dimitri R. Kioussis, M. Kim, S. C. Chen, J. Devrajan, S. A. Chew, Nam-Sung Kim, V. Peña, Hugo Bender, A. Dangol, Gaetano Santoro, Kathy Barla, K. Kenis, P. Lagrain, r. Chiarella, Naomi Yoshida, Mikhail Korolik, Eugenio Dentoni Litta, J. Machillot, Andreas Schulze, Alessio Spessot, D. Yakimets, Steven Demuynck, K-.H. Bu, Geert Eneman, M. Cogorno, Katia Devriendt, Dan Mocuta, Romain Ritzenthaler, Naoto Horiguchi, Doyoung Jang, and Shiyu Sun
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Doping ,Nanowire ,chemistry.chemical_element ,02 engineering and technology ,Ring oscillator ,021001 nanoscience & nanotechnology ,01 natural sciences ,Gallium arsenide ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Logic gate ,0103 physical sciences ,Optoelectronics ,Work function ,0210 nano-technology ,business - Abstract
We report on CMOS-integrated vertically stacked gate-all-around (GAA) Si nanowire (NW) MOSFETs with in-situ doped source-drain stressors and dual work function metal gates. We demonstrate that oxidation-induced SiGe/Si fin deformation by STI densification is effectively suppressed by a SiN liner. This SiN fin protection improves the controllability of nanowire formation. In addition, highly-selective Si nano-wire release and inner spacer cavity formation without Si re-flow are demonstrated. Finally, for the first time we report functional ring oscillators based on stacked Si NW-FETs.
- Published
- 2017
- Full Text
- View/download PDF
31. Ultralow resistive wrap around contact to scaled FinFET devices by using ALD-Ti contact metal
- Author
-
Kandabara Tapily, Gert J. Leusink, T. Hasegawa, Robert D. Clark, C. S. Wajda, Dan Mocuta, A. Dangol, G. Mannaert, S-A. Chew, Hao Yu, Eddy Kunnen, Erik Rosseel, Takahiro Hakamata, Steven Demuynck, Marc Schaekers, Naoto Horiguchi, K. De Meyer, and Andriy Hikavyy
- Subjects
010302 applied physics ,Resistive touchscreen ,Materials science ,business.industry ,Annealing (metallurgy) ,Contact resistance ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,01 natural sciences ,Atomic layer deposition ,Planar ,chemistry ,Electrical resistivity and conductivity ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Titanium - Abstract
We report on Atomic Layer Deposition Titanium (ALD Ti) for FinFET source/drain contact applications. On planar test structures, we accurately benchmark contact resistivity (ρc) of ALD Ti, ∼1.4×10–9 Ω·cm2 on Si:P and ∼2.0×10–9 Ω·cm2 on SiGe:B, among to lowest reported values in literature. Ultralow ρc is resulting from enhanced Ti/Si(Ge) reactivity originating in the ALD process. We also demonstrate capability of this process to significantly lower Rc on FinFETs by allowing a lateral contact into the S/D area effectively maximizing the contacting area.
- Published
- 2017
- Full Text
- View/download PDF
32. Full reliability study of advanced metallization options for 30nm ½pitch interconnects
- Author
-
Gerald Beyer, Yong Kong Siew, Kristof Croes, Nancy Heylen, Steven Demuynck, Marianna Pantouvaki, Christopher J. Wilson, and Zsolt Tkei
- Subjects
Interconnection ,Materials science ,business.industry ,Time-dependent gate oxide breakdown ,Activation energy ,Dielectric ,Condensed Matter Physics ,Electromigration ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Reliability (semiconductor) ,Reliability study ,Forensic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Layer (electronics) - Abstract
Different metallization options that allow filling 30nm 1/2pitch interconnect trenches have been explored and their full reliability performance has been benchmarked to conventional PVD TaNTa/PVD Cu seed based metallizations. CVD Co as seed enhancement layer shows no deterioration in barrier performance and improved electromigration performance, but the activation energy for electromigration was 0.68+/-0.20eV, which is at the lower end of the expected value of 0.85-0.95eV for this parameter. When integrating our trenches in a k=3.2 non-porous SiCOH low-k material, PVD RuTa barriers with 90%Ru and 10%Ta show degraded barrier performance and significant lowering of activation energy for electromigration (0.59+/-0.05eV) while when using SiO"2 as intermetal dielectric, no significant reliability deterioration is observed. Finally, it is shown that, using an optimized PVD Cu seed, standard PVD TaNTa-barriers give excellent barrier performance and that typical electromigration lifetime specs can be met with this metallization scheme down to 30nm 1/2pitch.
- Published
- 2013
- Full Text
- View/download PDF
33. Direct Copper Electrochemical Deposition on Ru-Based Substrates for Advanced Interconnects Target 30 nm and ½ Pitch Lines: From Coupon to Full-Wafer Experiments
- Author
-
Silvia Armini, Zaid El-Mekki, J. Swerts, Steven Demuynck, and Margalit (Magi) Nagar
- Subjects
Materials science ,Renewable Energy, Sustainability and the Environment ,chemistry.chemical_element ,Nanotechnology ,Condensed Matter Physics ,Electrochemistry ,Copper ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Materials Chemistry ,Wafer ,Deposition (chemistry) - Published
- 2013
- Full Text
- View/download PDF
34. Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gates
- Author
-
Kathy Barla, G. Mannaert, Romain Ritzenthaler, Harold Dekkers, S. A. Chew, Hans Mertens, J. Geypen, Stefan Kubicek, Patrick Carolan, Adrian Chasin, Lars-Ake Ragnarsson, Tom Schram, Andriy Hikavyy, A. Dangol, Hugo Bender, Kurt Wostyn, Min-Soo Kim, Dan Mocuta, Naoto Horiguchi, Steven Demuynck, Katia Devriendt, T. Hopf, Erik Rosseel, Y. Kikuchi, N. Bosman, and Eddy Kunnen
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Doping ,Transistor ,Nanowire ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Gallium arsenide ,chemistry.chemical_compound ,CMOS ,chemistry ,law ,Logic gate ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Metal gate - Abstract
We report on the CMOS integration of vertically stacked gate-all-around (GAA) silicon nanowire MOSFETs, with matched threshold voltages (V t, sat ∼ 0.35 V) for N- and P-type devices. The Vt setting is enabled by nanowire-compatible dual-work-function metal integration in a high-k last replacement metal gate process. Furthermore, we demonstrate that N- and P-type junction formation can influence nanowire release differently due to both implantation-induced SiGe/Si intermixing and doping effects. These findings underline that junction formation and nanowire release require co-optimization in GAA CMOS technologies.
- Published
- 2016
- Full Text
- View/download PDF
35. Improvement of the CMOS characteristics of bulk Si FinFETs by high temperature ion implantation
- Author
-
Stefan Kubicek, G. Mannaert, Katia Devriendt, J. Cournoyer, Romain Ritzenthaler, Y. Kikuchi, Jose Ignacio del Agua Borniquel, Dan Mocuta, A. Waite, Z. Tao, Naoto Horiguchi, R. Schreutelkamp, Steven Demuynck, Min-Soo Kim, T. Hopf, Tom Schram, Naushad Variam, and S. A. Chew
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Fin (extended surface) ,Ion ,Ion implantation ,CMOS ,chemistry ,Logic gate ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business ,Metal gate ,Hard mask - Abstract
For the first time, we have established a replacement metal gate complementary metal-oxide-semiconductor process flow for the high temperature ion implantation of bulk Si fin field-effect-transistors on a 45-nm fin pitch design rule, using high temperature spin-on-carbon hard mask and a dedicated patterning process. In this paper, the advantages of high temperature ion implantation and a detailed process flow of the dedicated patterning are explained. Electrical characteristics of metal-oxide-semiconductor field-effect-transistors and ring oscillators are evaluated.
- Published
- 2016
- Full Text
- View/download PDF
36. Heterostructure at CMOS source/drain: Contributor or alleviator to the high access resistance problem?
- Author
-
Tarun Agarwal, Liesbeth Witters, S. A. Chew, Jerome Mitard, Kathy Barla, Pierre Eyben, Hao Yu, Niamh Waldron, Aaron Thean, Thomas Chiarella, K. De Meyer, Nadine Collaert, Steven Demuynck, Geoffrey Pourtois, Erik Rosseel, Andriy Hikavyy, Marc Schaekers, Clement Merckling, Naoto Horiguchi, Dan Mocuta, J.-L. Everaert, Stefan Kubicek, Anda Mocuta, and A. Sibaja-Hernandez
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Doping ,chemistry.chemical_element ,Heterojunction ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,01 natural sciences ,Band offset ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Electrical resistivity and conductivity ,0103 physical sciences ,Density of states ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business - Abstract
This work investigates the interface resistivity of several heterostructures. Theoretical simulations suggest that, apart from the doping impact, the band offset and the difference in density of states (DOS) increase significantly the heterostructure interface resistivity. This conclusion corresponds well to our experiments that 1) high interface resistances are observed between (high-Ge content) p-SiGe/p-Si, n-InAs/n-Si, and n-InAs/n-Ge; and that 2) a TiSi x /12nm Si:P/n-Ge contact with favorable band alignment between Si:P/n-Ge approaches low effective contact resistivity of 1.4×10−8 Ω cm2, close to a record-low value for n-Ge contacts.
- Published
- 2016
- Full Text
- View/download PDF
37. Towards high performance sub-10nm finW bulk FinFET technology
- Author
-
A. De Keersgieter, Morin Dehan, Stefan Kubicek, Anda Mocuta, Erik Rosseel, Tom Schram, Pierre Eyben, Miroslav Cupak, Jerome Mitard, Andriy Hikavyy, Thomas Chiarella, Min-Soo Kim, L.-A. Ragnarsson, Naoto Horiguchi, A. V-Y. Thean, Steven Demuynck, L. Rijnders, S. A. Chew, Dan Mocuta, and Romain Ritzenthaler
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Doping ,Electrical engineering ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,PMOS logic ,CMOS ,chemistry ,Logic gate ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Scaling ,NMOS logic - Abstract
We demonstrate the effectiveness and limitations of critical performance elements in silicon channel bulk finFET CMOS devices featuring embedded Source/Drain (e_SD) dual epi. Further scaling of the fin width below 10nm is shown to impact both the access resistance and S/D overlap capacitances while the mobility behavior for both nMOS and pMOS devices further degrades. Epitaxial S/D regrowth options are optimized to demonstrate ring-oscillator functionality for fin width down to ∼4nm.
- Published
- 2016
- Full Text
- View/download PDF
38. Ultralow-resistivity CMOS contact scheme with pre-contact amorphization plus Ti (germano-)silicidation
- Author
-
Anda Mocuta, D.H. Kim, Antony Premkumar Peter, L. Date, Stefan Kubicek, Wolfgang R. Aderhold, Steven Demuynck, Kelly E Hollar, Fareen Adeni Khaja, Nadine Collaert, Erik Rosseel, Andriy Hikavyy, Hao Yu, Marc Schaekers, Bastien Douhard, Kathy Barla, Naoto Horiguchi, K. M. Shin, Ju-Bum Lee, Abhilash J. Mayur, K. De Meyer, S. A. Chew, and Aaron Thean
- Subjects
010302 applied physics ,Materials science ,CMOS ,Electrical resistivity and conductivity ,0103 physical sciences ,Doping ,Analytical chemistry ,Electronic engineering ,02 engineering and technology ,Activation method ,021001 nanoscience & nanotechnology ,0210 nano-technology ,01 natural sciences - Abstract
Following the previous study on Si:P [1], we also achieve ultralow contact resistivities (ρ c ) of ∼2×10−9 Ω·cm2 on Si 0.3 Ge 0.7 :B using the same Ti based pre-contact amorphization (PCAI) plus post-metal anneal (PMA) technique. Similar as on Si:P, low-energy PCAI provides the lowest ρ c on SiGe:B. By increasing the B concentration, the PMA temperature required on SiGe:B also matches with that on Si:P. A simple Ti based CMOS contact flow is thus proposed. Several B doping and activation methods on SiGe:B are also compared in this work.
- Published
- 2016
- Full Text
- View/download PDF
39. Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates
- Author
-
A. De Keersgieter, S. Godny, O. Richard, Kathy Barla, G. Mannaert, Diana Tsvetanova, Romain Ritzenthaler, Harold Dekkers, A. Dangol, Adrian Chasin, Tom Schram, Hugo Bender, A. V-Y. Thean, N. Bosman, Hans Mertens, Bastien Douhard, Andriy Hikavyy, Dan Mocuta, Steven Demuynck, Kurt Wostyn, Min-Soo Kim, S. A. Chew, Z. Tao, Naoto Horiguchi, E. Van Besien, Erik Rosseel, Katia Devriendt, and J. Geypen
- Subjects
010302 applied physics ,Materials science ,business.industry ,Process (computing) ,Nanowire ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,Metal gate ,business ,Ground plane - Abstract
We report on gate-all-around (GAA) n- and p-MOSFETs made of 8-nm-diameter vertically stacked horizontal Si nanowires (NWs). We show that these devices, which were fabricated on bulk Si substrates using an industry-relevant replacement metal gate (RMG) process, have excellent short-channel characteristics (SS = 65 mV/dec, DIBL = 42 mV/V for L G = 24 nm) at performance levels comparable to finFET reference devices. The parasitic channels below the Si NWs were effectively suppressed by ground plane (GP) engineering.
- Published
- 2016
- Full Text
- View/download PDF
40. MIS or MS? Source/drain contact scheme evaluation for 7nm Si CMOS technology and beyond
- Author
-
Anda Mocuta, Marc Schaekers, Nadine Collaert, Naoto Horiguchi, Kristin De Meyer, Hao Yu, Kathy Barla, Aaron Thean, and Steven Demuynck
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Schottky barrier ,Transistor ,Contact resistance ,Electrical engineering ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,PMOS logic ,CMOS ,chemistry ,law ,Electrical resistivity and conductivity ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,NMOS logic - Abstract
Contact resistance at the transistor source/drain becomes a bottleneck for modern Si CMOS technology. To seek for contact solutions, this paper compares metal-insulator-semiconductor (MIS) contacts and metal-semiconductor (MS) direct contacts in terms of contact resistivity and CMOS compatibility. On p-type substrates, due to the favorable surface Fermi level pinning, MS contact has absolute advantage over MIS. On n-type substrates, on the one hand, we find MIS contacts have relatively high contact resistivity despite the low Schottky barrier height; the low thermal stability of MIS is also worrying. On the other hand, with MS contacts, we use a pre-amorphization based Ti silicidation technique and achieve contact resistivity of 1.5×10−9 Ω·cm2. Therefore, for both NMOS and PMOS, we confirm that MS contacts are still the prevailing contact scheme. Advanced MS interface engineering is able to help reach the target contact resistivity required by advanced CMOS technology.
- Published
- 2016
- Full Text
- View/download PDF
41. Process options to enable (sub-)1e-9 Ohm.cm2 contact resistivity on Si devices
- Author
-
S. A. Chew, K. De Meyer, Steven Demuynck, Erik Rosseel, Aaron Thean, Kathy Barla, Stefan Kubicek, Antony Premkumar Peter, Anda Mocuta, Marc Schaekers, Naoto Horiguchi, J.-L. Everaert, Nadine Collaert, and Hao Yu
- Subjects
010302 applied physics ,Materials science ,business.industry ,Doping ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,chemistry.chemical_compound ,Semiconductor ,CMOS ,chemistry ,Transmission line ,Electrical resistivity and conductivity ,0103 physical sciences ,Silicide ,Electronic engineering ,Optoelectronics ,Ohm ,0210 nano-technology ,business - Abstract
This paper introduces the investigations on ultralow metal/semiconductor contact resistivity (ρc). First, we build a multiring circular transmission line model (MR-CTLM), a novel ρc test structure with simple process and high accuracy for rigorous ρc study. Based on that, we explore process options to achieve ultralow ρc on n-Si. We obtain high carrier concentration of ∼9e20 cm−3 by in situ P doped Si:P epitaxy followed by ms laser annealing. Besides, we use a pre-contact amorphization plus Ti silicidation technique to fabricate TiSix/Si:P contacts and achieve ultralow ρc of 1.5e–9 Ohm.cm2. Finally, we discuss sub-1e-9 Ohm.cm2 ρc solutions for future CMOS technology node.
- Published
- 2016
- Full Text
- View/download PDF
42. A Comprehensive LER-Aware TDDB Lifetime Model for Advanced Cu Interconnects
- Author
-
Guido Groeseneken, Steven Demuynck, Philippe Roussel, Michele Stucchi, and Zsolt Tokei
- Subjects
Interconnection ,Materials science ,Dielectric strength ,Electric breakdown ,Time-dependent gate oxide breakdown ,Dielectric ,Line edge roughness ,Engineering physics ,Electronic, Optical and Magnetic Materials ,Reliability (semiconductor) ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Interconnect scaling ,Electrical and Electronic Engineering ,Safety, Risk, Reliability and Quality - Abstract
A time-dependent dielectric breakdown (TDDB) lifetime model predicting the impact of line-edge roughness (LER) on Cu interconnect reliability is proposed. The structure, validity, and accuracy of the model are evaluated and discussed. The model is applied to an interconnect scaling scenario that includes conventional patterning and spacer-defined patterning of nanometer-scale Cu wires. LER-aware TDDB lifetime predictions are obtained from the model, and consequent recommendations on how to improve the TDDB lifetime of future interconnects are derived.
- Published
- 2011
- Full Text
- View/download PDF
43. Evaluation of Metallization Options for Advanced Cu Interconnects Application
- Author
-
G. Beyer, Zsolt Tokei, N. Jourdan, K. Croes, E. Vancoille, Nancy Heylen, Steven Demuynck, S. Van Elshocht, L. Carbonell, Johan Swerts, Silvia Armini, and A. Maestre Caro
- Subjects
Interconnection ,Materials science ,Reliability (semiconductor) ,Diffusion barrier ,business.industry ,Monolayer ,Trench ,Optoelectronics ,Interconnect technology ,business ,Electromigration ,Overlayer - Abstract
The traditional Cu interconnect barrier/seed process consisting of PVD-Ta based barrier/Cu-seed will reach its limit between 20 nm and 30 nm wide trench dimension. To extend Cu interconnect technology further, possible solutions such as PVD-RuTa, PEALD-Ru-based, CVD-Co, PVD/CVD-self-formed-MnSixOy and self-assembled monolayers (SAMs) are studied. It is shown that both PVD-RuTa and CVD-Co possess the so-called seed enhancement capability allowing Cu filling of narrow recesses. However, they exhibit limitations in terms of Cu-diffusion barrier efficiency, electromigration reliability and scalability. Despite, the concept of SAM [NH2-SAM(C3)] as Cu diffusion barrier is demonstrated, it requires maturity and compatibility within the process flow (e.g. adhesion with the Cu overlayer). Finally, it is considered that PEALD-Ru-based alloys and CVD-based MnSixOy films are serious candidates for sub-30 nm wide trench technologies because of their conformal nature and ability to act as an efficient Cu diffusion barrier in the range of 2 nm thickness.
- Published
- 2011
- Full Text
- View/download PDF
44. Screening and Evaluation of Different Wet Cleaning Solutions for Post Etch Residue Removal in BEOL Applications
- Author
-
Gerarld Beyer, Martine Claes, Mariana Pantouvaki, Guy Vereecke, Bart Vereecke, Samuel Suhard, Steven Demuynck, and James Loh
- Subjects
Residue (chemistry) ,Materials science ,Wet cleaning ,Pulp and paper industry - Abstract
Two types of wet cleaning solutions were screened for post etch residue removal in back end of line applications: aqueous acid chemistries and organic solvents. Their compatibility with plasma damaged low-k materials and their polymer removal efficiency were checked on blanket low-k layers and single damascene layers, respectively. Aqueous acid chemistries under study showed either to be compatible with plasma damaged low k material but had poor polymer removal efficiency, or showed good polymer removal but also removal of the plasma damaged low-k. A correlation between the damaged low-k and the amount of etching additive in aqueous acid chemistry could be established. Initial tests with organic solvents demonstrated that they were compatible with the damage low-k layer. Concerning polymer removal, some partial dissolution of polymers was observed for the first time. Furthermore, the cleaning performance of organic solvents, and hence the electrical performance, can be improved by applying megasonic power during the clean process.
- Published
- 2009
- Full Text
- View/download PDF
45. A DRAM compatible Cu contact using self-aligned Ta-silicide and Ta-barrier
- Author
-
Naoto Horiguchi, Steven Demuynck, Zs. Tkei, Jaesoo Ahn, and Chao Zhao
- Subjects
Materials science ,Diffusion barrier ,Annealing (metallurgy) ,Contact resistance ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Physical vapor deposition ,Silicide ,Wafer ,Electrical and Electronic Engineering ,Composite material ,Sheet resistance ,NMOS logic - Abstract
As an alternative to W contacts currently used in MOSFETs for DRAM, Cu contacts using self-aligned Ta-silicide and Ta-based barrier were studied experimentally. The silicidation of PVD Ta layers was studied first on 300mm blanket Si wafers. The developed method was applied to patterned wafers in the contacts, that land on poly gate and active areas of NMOS, with a sequence including the PVD of Ta, a silicidation annealing, a Ta-based Cu diffusion barrier and a Cu seed for plating the Cu plug. X-ray diffraction (XRD), X-ray reflection (XRR) and sheet resistance tests of the blanket wafers show that a Ta layer of about 10nm reacts with Si substrate and forms TaSi"2 at 650^oC in a reducing ambient. Cross-sectional SEM observation reveals that the selected processing flow fills the 90nm contacts. Top-view SEM observation on the samples after 420^oC sintering demonstrates that the Cu diffusion barrier is effective. I"o"n-I"o"f"f curves of the devices show a performance for NMOS comparable to the reference samples which use Ni(Pt)Si and the same barrier and Cu contacts, indicating that the stack of the barrier/TaSi"2/p-type Si has a contact resistance comparable to the barrier/Ni(Pt)Si/p-type Si.
- Published
- 2008
- Full Text
- View/download PDF
46. Manufacturable Processes for $\leq$ 32-nm-node CMOS Enhancement by Synchronous Optimization of Strain-Engineered Channel and External Parasitic Resistances
- Author
-
Kavita Shah, Reza Arghavani, Mihaela Balseanu, R. Schreutelkamp, Jorge A. Kittl, Steven Demuynck, S. Gandikota, P. Boelen, A.J. Gelatos, A. Khandelwal, S. Felch, Li-Qun Xia, Ching-Ya Wang, Jianxin Lei, A.M. Noori, Scott E. Thompson, Peter Verheyen, Tushar Mandrekar, A. Cockburn, Anne Lauwers, and Wen-Chin Lee
- Subjects
Materials science ,business.industry ,Schottky barrier ,Contact resistance ,Electrical engineering ,Electronic, Optical and Magnetic Materials ,PMOS logic ,chemistry.chemical_compound ,Strain engineering ,CMOS ,chemistry ,Parasitic element ,Silicide ,Optoelectronics ,Electrical and Electronic Engineering ,business ,NMOS logic - Abstract
Manufacturable processes to reduce both channel and external resistances (RExt) in CMOS devices are described. Simulations show that RExt will become equivalent to strained Si channel resistance near the 32-nm logic node. Tensile stress in plasma-enhanced chemical-vapor-deposited SiNx liners is increased with UV curing, boosting the NMOS drive current by 20% relative to a neutral reference. W contact-plug resistance (Rc) is reduced by 40% by optimizing preclean, liner/barrier, and nucleation steps. Replacing the fill material with Cu reduces Rc by > 35% as compared to W. The Schottky barrier height of silicide contacts to p-Si is reduced by 0.12 eV with a 10% addition of Pt, resulting in a ~10% increase in the PMOS drive current. By implementing a two-step anneal process (spike + laser), the source/drain-extension resistance can be reduced by 20%.
- Published
- 2008
- Full Text
- View/download PDF
47. Failure mechanisms of PVD Ta and ALD TaN barrier layers for Cu contact applications
- Author
-
Zs. Tkei, Ahmed Haider, Chao Zhao, and Steven Demuynck
- Subjects
Materials science ,Diffusion barrier ,Activation energy ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,X-ray reflectivity ,Barrier layer ,Diffusion process ,Physical vapor deposition ,X-ray crystallography ,Wafer ,Electrical and Electronic Engineering ,Composite material - Abstract
PVD Ta-based and ALD TaN layers were studied as Cu diffusion barriers on poly-silicon, NiSi and CoSi"2 for Cu contact applications. The effectiveness of nanometer-thick layers, deposited in manufacturing compatible chambers on 200 and 300mm wafers, is evaluated by detection of Cu-silicidation temperature using high temperature in situ XRD. It is found that Si diffuses into the @a-Ta lattice for PVD barriers between 300 and 500^oC, and induces Ta silicidation at 600^oC. The agglomeration of TaSi"2 seems to be responsible for the damage of barrier continuity and cause subsequent Cu-silicidation. The growth of ALD TaN on different surfaces of NiSi was studied by XRF, RBS and XRR. The growth curves show excellent linearity as a function of thickness. TOF-SIMS shows closed layers after 60 ALD cycles. In situ XRD reveals that the failure temperature of 4nm thick ALD layers is higher than 500^oC. It is found that the failure of 3 and 4nm ALD TaN layers in Cu/barrier/NiSi stacks is a diffusion controlled process, with an activation energy Q of ~2.2eV and a pre-exponential factor D"0 of ~3.8x10^-^3cm^2/s.
- Published
- 2007
- Full Text
- View/download PDF
48. An investigation of ultra low-k dielectrics with high thermal stability for integration in memory devices
- Author
-
M. Baklanov, Steven Demuynck, H. Meynen, Quoc Toan Le, L. Carbonell, E. Hong, and M. Van Hove
- Subjects
business.industry ,Chemistry ,Low-k dielectric ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Optics ,Polarization mode dispersion ,Degradation (geology) ,Optoelectronics ,Thermal stability ,Electrical and Electronic Engineering ,business - Abstract
For the PMD in a next generation memory device, two kinds of newly developed ultra low-k MSQ materials (k 500^oC. The thermal stability of the low-k MSQ is correlated with the amount of Si-X (X=H or CH"3), the ratio of Si-X to Si-O, and the structure of the Si-O bonds. With PE-SiO"2 and PE-SiN capping on HSQ, the k-value of
- Published
- 2007
- Full Text
- View/download PDF
49. A Novel Concept for Contact Etch Residue Removal
- Author
-
Thierry Conard, Werner Boullart, Johan Vertommen, Olivier Richard, Steven Demuynck, I. Vos, and David Hellin
- Subjects
Chemical exposure ,Residue (chemistry) ,Materials science ,business.industry ,Process engineering ,business ,Delay time - Abstract
For every new technology node, the specifications for different processing steps become more stringent. For cleaning, one strives to continuously reduce the loss of substrate and film thickness while maintaining a high cleaning efficiency. Short and well-controlled chemical exposure times are desired and may enable the use of more aggressive chemistries. Reducing delay time between etching and subsequent wet clean, by introducing clustered processing, may allow for more effective residue removal. This paper describes a novel cleaning concept, Confined Chemical CleaningTM, and its application in post contact etch residue removal. The technique combines short and controlled exposure times with clustered processing for residue removal.
- Published
- 2007
- Full Text
- View/download PDF
50. Novel junction design for NMOS Si Bulk-FinFETs with extension doping by PEALD phosphorus doped silicate glass
- Author
-
Stefan Kubicek, Yosuke Kimura, Anda Mocuta, Romain Ritzenthaler, S. A. Chew, Naoto Horiguchi, Y. Kikuchi, Tom Schram, Steven Demuynck, D. De Roest, Yuichiro Sasaki, Anabela Veloso, X. Shi, W. Vandervorst, A. V-Y. Thean, Dan Mocuta, A. De Keersgieter, and Min-Soo Kim
- Subjects
Materials science ,Silicon ,business.industry ,Annealing (metallurgy) ,Doping ,Oxide ,chemistry.chemical_element ,Ion ,Hafnium ,chemistry.chemical_compound ,chemistry ,Electronic engineering ,Optoelectronics ,business ,NMOS logic ,Sheet resistance - Abstract
We demonstrate a NMOS Si Bulk-FinFET with extension doped by Phosphorus doped Silicate Glass (PSG). Highly doped PSG (6e21 cm−3) was used as a diffusion source. SiO2 cap on PSG decreased sheet resistance (Rs) due to less out diffusion of P. Even when thin SiO2 exists at the interface between Si and PSG, P diffused from PSG into Si. Thanks to the high etch rate of the PSG/SiO2 cap stack after drive-in anneal, the PSG/SiO2 cap was successfully removed by HF with minimum removal of STI and gate hard mask oxide. PSG provides damage free and uniform sidewall doping to fin. On current ION is improved by 20% for LG in the 30–24 nm range, with similar IOFF and better DIBL compared to P ion implanted reference.
- Published
- 2015
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.