Search

Your search keyword '"Steven Demuynck"' showing total 131 results

Search Constraints

Start Over You searched for: Author "Steven Demuynck" Remove constraint Author: "Steven Demuynck"
131 results on '"Steven Demuynck"'

Search Results

1. Monolithic Complementary Field Effect Transistors (CFET) Demonstrated using Middle Dielectric Isolation and Stacked Contacts.

6. Process Variation Analysis of Device Performance Using Virtual Fabrication: Methodology Demonstrated on a CMOS 14-nm FinFET Vehicle

7. Buried Power Rail Integration With FinFETs for Ultimate CMOS Scaling

9. Buried power rail integration for CMOS scaling beyond the 3 nm node

11. Reliability of Barrierless PVD Mo

12. Engineering high quality and conformal ultrathin SiNx films by PEALD for downscaled and advanced CMOS nodes

13. Buried Power Rail Scaling and Metal Assessment for the 3 nm Node and Beyond

14. Buried Power Rail Integration with Si FinFETs for CMOS Scaling beyond the 5 nm Node

15. 3D Sequential Low Temperature Top Tier Devices using Dopant Activation with Excimer Laser Anneal and Strained Silicon as Performance Boosters

16. First Monolithic Integration of 3D Complementary FET (CFET) on 300mm Wafers

17. Virtual Process-Based Spacer & Junction Optimization for an Inverter Circuit

18. (Invited) Gate-All-Around Transistors Based on Vertically Stacked Si Nanowires

19. Ge oxide scavenging and gate stack nitridation for strained Si0.7Ge0.3 pFinFETs enabling 35% higher mobility than Si

20. High performance strained Germanium Gate All Around p-channel devices with excellent electrostatic control for sub-Jtlnm LG

21. Buried metal line compatible with 3D sequential integration for top tier planar devices dynamic Vth tuning and RF shielding applications

22. Titanium Silicide on Si:P With Precontact Amorphization Implantation Treatment: Contact Resistivity Approaching $1 \times 10^{-9}$ Ohm-cm2

23. Electrical Characteristics of p-Type Bulk Si Fin Field-Effect Transistor Using Solid-Source Doping With 1-nm Phosphosilicate Glass

24. Thermal Stability Concern of Metal-Insulator-Semiconductor Contact: A Case Study of Ti/TiO2/n-Si Contact

25. Self-aligned fin cut last patterning scheme for fin arrays of 24nm pitch and beyond

26. First Demonstration of 3D stacked Finfets at a 45nm fin pitch and 110nm gate pitch technology on 300mm wafers

27. The Complementary FET (CFET) for CMOS scaling beyond N3

28. Replacement Metal Contact Using Sacrificial ILD0 for Wrap Around Contact in Scaled FinFET Technology

29. Enabling CMOS Scaling Towards 3nm and Beyond

30. Vertically stacked gate-all-around Si nanowire transistors: Key Process Optimizations and Ring Oscillator Demonstration

31. Ultralow resistive wrap around contact to scaled FinFET devices by using ALD-Ti contact metal

32. Full reliability study of advanced metallization options for 30nm ½pitch interconnects

33. Direct Copper Electrochemical Deposition on Ru-Based Substrates for Advanced Interconnects Target 30 nm and ½ Pitch Lines: From Coupon to Full-Wafer Experiments

34. Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gates

35. Improvement of the CMOS characteristics of bulk Si FinFETs by high temperature ion implantation

36. Heterostructure at CMOS source/drain: Contributor or alleviator to the high access resistance problem?

37. Towards high performance sub-10nm finW bulk FinFET technology

38. Ultralow-resistivity CMOS contact scheme with pre-contact amorphization plus Ti (germano-)silicidation

39. Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates

40. MIS or MS? Source/drain contact scheme evaluation for 7nm Si CMOS technology and beyond

41. Process options to enable (sub-)1e-9 Ohm.cm2 contact resistivity on Si devices

42. A Comprehensive LER-Aware TDDB Lifetime Model for Advanced Cu Interconnects

43. Evaluation of Metallization Options for Advanced Cu Interconnects Application

44. Screening and Evaluation of Different Wet Cleaning Solutions for Post Etch Residue Removal in BEOL Applications

45. A DRAM compatible Cu contact using self-aligned Ta-silicide and Ta-barrier

46. Manufacturable Processes for $\leq$ 32-nm-node CMOS Enhancement by Synchronous Optimization of Strain-Engineered Channel and External Parasitic Resistances

47. Failure mechanisms of PVD Ta and ALD TaN barrier layers for Cu contact applications

48. An investigation of ultra low-k dielectrics with high thermal stability for integration in memory devices

49. A Novel Concept for Contact Etch Residue Removal

50. Novel junction design for NMOS Si Bulk-FinFETs with extension doping by PEALD phosphorus doped silicate glass

Catalog

Books, media, physical & digital resources