152 results on '"T. Hopf"'
Search Results
2. Scaled FinFETs Connected by Using Both Wafer Sides for Routing via Buried Power Rails
- Author
-
A. Veloso, A. Jourdain, D. Radisic, R. Chen, G. Arutchelvan, B. O'Sullivan, H. Arimura, M. Stucchi, A. De Keersgieter, M. Hosseini, T. Hopf, K. D'have, S. Wang, E. Dupuy, G. Mannaert, K. Vandersmissen, S. Iacovo, P. Marien, S. Choudhury, F. Schleicher, F. Sebaai, Y. Oniki, X. Zhou, A. Gupta, T. Schram, B. Briggs, C. Lorant, E. Rosseel, A. Hikavyy, R. Loo, J. Geypen, D. Batuk, G. T. Martinez, J. P. Soulie, K. Devriendt, B. T. Chan, S. Demuynck, G. Hiblot, G. Van der Plas, J. Ryckaert, G. Beyer, E. Dentoni Litta, E. Beyne, and N. Horiguchi
- Subjects
Electrical and Electronic Engineering ,Electronic, Optical and Magnetic Materials - Published
- 2022
3. Buried Power Rail Integration With FinFETs for Ultimate CMOS Scaling
- Author
-
S. Paolillo, Guillaume Boccardi, N. Jourdan, Manoj Jaysankar, Zheng Tao, Sylvain Baudot, Geert Mannaert, Juergen Boemmels, T. Hopf, E. Capogreco, Shouhua Wang, Efrain Altamirano, E. Dupuy, Olalla Varela Pedreira, B. Briggs, Thomas Chiarella, Joris Cousserier, Sofie Mertens, Romain Ritzenthaler, Frank Holsteyns, C. Lorant, Goutham Arutchelvan, Ingrid Demonie, Steven Demuynck, K. Kenis, Xiuju Zhou, Anshul Gupta, F. Sebai, D. Radisic, Zsolt Tokei, Erik Rosseel, A. Sepulveda, Naoto Horiguchi, Christel Drijbooms, Antony Premkumar Peter, Haroen Debruyn, Nouredine Rassoul, Bilal Chehab, P. Morin, Boon Teik Chan, Christopher J. Wilson, Katia Devriendt, Noemie Bontemps, Frederic Lazzarino, Paola Favia, Lieve Teugels, D. Yakimets, F. Schleicher, Houman Zahedmanesh, Jerome Mitard, Min-Soo Kim, An De Keersgieter, Sujith Subramanian, Kevin Vandersmissen, Hans Mertens, Eugenio Dentoni Litta, and Yong Kong Siew
- Subjects
010302 applied physics ,Materials science ,business.industry ,chemistry.chemical_element ,Dielectric ,Tungsten ,01 natural sciences ,Electromigration ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry ,CMOS ,law ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,Tin ,business ,Spark plug ,Critical dimension ,Scaling - Abstract
Buried power rail (BPR) is a key scaling booster for CMOS extension beyond the 5-nm node. This work demonstrates, for the first time, the integration of tungsten (W) BPR lines with Si finFETs. BPR technology requires insertion of metal in the front-end-of-line (FEOL) stack. This poses risks of stack deformation and device degradation due to metal-induced stress and contamination. To assess the stack deformation, we demonstrate W-BPR lines which can withstand source/drain activation anneal at 1000 °C, 1.5 s, without adversely impacting the stack morphology. To address the contamination risk, we demonstrate a BPR process module with controlled W recess and void-free dielectric plug formation which keeps the W-line fully encapsulated during downstream FEOL processing. Suitable choice of BPR metal such as W with high melting point which does not diffuse into dielectrics also minimizes the risk of contamination. To assess the device degradation, simulations are carried out showing negligible stress transfer from BPR to the channel. This is experimentally validated when no systematic difference in the dc characteristics of CMOS without BPR versus those in close proximity to floating W-BPR lines is observed. Additionally, the resistance of the recessed W-BPR line is measured $\sim 120~\Omega /\mu \text{m}$ for critical dimension (CD) ~32 nm and height ~122 nm. The recessed W-BPR interface with Ru 3-nm TiN liner via contact can withstand more than 1000 h of electromigration (EM) stress at 6.6 MA/cm2 and 330 °C, making Ru a candidate for via metallization to achieve low resistance contact strategy to BPR.
- Published
- 2020
4. Russia's European Choice
- Author
-
T. Hopf, T. Hopf
- Published
- 2008
5. Improvement in drain-induced-barrier-lowering and on-state current characteristics of bulk Si fin field-effect-transistors using high temperature Phosphorus extension ion implantation
- Author
-
Stefan Kubicek, Naushad Variam, Pierre Eyben, Y. Kikuchi, Dan Mocuta, Naoto Horiguchi, A. Waite, T. Hopf, Jose Ignacio del Agua Borniquel, Geert Mannaert, and Jean-Luc Everaert
- Subjects
010302 applied physics ,Materials science ,Spreading resistance profiling ,business.industry ,Doping ,Drain-induced barrier lowering ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Ion ,Secondary ion mass spectrometry ,Ion implantation ,0103 physical sciences ,Materials Chemistry ,Optoelectronics ,Field-effect transistor ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Sheet resistance - Abstract
In this paper, high temperature Phosphorus ion implantation is applied to p-type Si (1 0 0) substrates and n-type bulk Si fin field-effect-transistors. Phosphorus profiles and sheet resistance on p-type Si (1 0 0) substrates are analyzed. High temperature ion implantation shows less Phosphorus diffusion after rapid thermal annealing compared to room temperature ion implantation. In n-type bulk Si fin field-effect-transistors with wide spacers and ion implanted source and drain, the high temperature extension ion implantation shows better electrical characteristics in terms of drain-induced-barrier-lowering, on-state resistance, on-state current, and off-state current. In n-type bulk Si fin field-effect-transistors with narrow spacers and Phosphorus in-situ doped Si epi source and drain, drain-induced-barrier-lowering and off-state current characteristics are improved by high temperature extension ion implantation, compared to room temperature extension ion implantation. Phosphorus distribution in fin field-effect-transistors is analyzed by scanning spreading resistance microscopy. Suppression of Phosphorus diffusion into the channel area is confirmed.
- Published
- 2019
6. Buried Power Rail Integration with Si FinFETs for CMOS Scaling beyond the 5 nm Node
- Author
-
N. Jourdan, Katia Devriendt, E. Dupuy, Hans Mertens, S. Paolillo, Guillaume Boccardi, F. Schleicher, E. Sanchez, Romain Ritzenthaler, Frank Holsteyns, Z. Tao, Sylvain Baudot, Sofie Mertens, Haroen Debruyn, Kevin Vandersmissen, Thomas Chiarella, P. Morin, Antony Premkumar Peter, Anshul Gupta, Erik Rosseel, Min-Soo Kim, Nouredine Rassoul, Boon Teik Chan, Christopher J. Wilson, D. Radisic, Lieve Teugels, A. De Keersgieter, D. Yakimets, I. Demonie, N. Bontemps, C. Drijbooms, Sujith Subramanian, Bilal Chehab, Paola Favia, C. Lorant, Farid Sebaai, Steven Demuynck, Frederic Lazzarino, E. Dentoni Litta, G. Mannaert, Houman Zahedmanesh, Yong Kong Siew, J. Cousserier, T. Hopf, B. Briggs, Manoj Jaysankar, Jerome Mitard, K. Kenis, A. Sepúlveda, S. Wang, Naoto Horiguchi, Goutham Arutchelvan, E. Capogreco, O. Varela Pedreira, D. Zhou, Jürgen Bömmels, and Zsolt Tokei
- Subjects
010302 applied physics ,Materials science ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,Tungsten ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electromigration ,Cmos scaling ,CMOS ,chemistry ,Booster (electric power) ,Logic gate ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Low resistance ,Scaling - Abstract
Buried power rail (BPR) is a key scaling booster for CMOS extension beyond the 5 nm node. This paper demonstrates, for the first time, the integration of tungsten (W) BPR lines with Si finFETs. The characteristics of CMOS in close proximity to floating BPR are found to be similar to the characteristics of CMOS without BPR. Moreover, W-BPR interface with Ru via contact can withstand more than 320 h of electromigration (EM) stress at 4 MA/cm 2 and 330°C, making Ru a candidate for via metallization to achieve low resistance contact strategy to BPR.
- Published
- 2020
7. First Monolithic Integration of 3D Complementary FET (CFET) on 300mm Wafers
- Author
-
P. Schuddinck, J. Hung, Sylvain Baudot, Yong Kong Siew, D. Batuk, P. Morin, X. Zhou, R. Koret, E. Capogreco, E. Dentoni Litta, S. Subramanian, G. Mannaert, Farid Sebaai, Naoto Horiguchi, Alessio Spessot, Maryamsadat Hosseini, Thomas Chiarella, T. Hopf, D. Radisic, Antony Premkumar Peter, Andriy Hikavyy, G. T. Martinez, Boon Teik Chan, B. Briggs, S. Sarkar, Anabela Veloso, S. Wang, Steven Demuynck, Katia Devriendt, Erik Rosseel, Julien Ryckaert, and Juergen Boemmels
- Subjects
Fabrication ,business.industry ,Computer science ,PMOS logic ,Silicon-germanium ,chemistry.chemical_compound ,chemistry ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Wafer ,Field-effect transistor ,Parasitic extraction ,business ,NMOS logic - Abstract
We report the first monolithic integration of 3D Complementary Field Effect Transistor (CFET) on 300mm wafers using imec's N14 platform. A monolithic CFET process is cost effective compared to a sequential CFET process. The small N/P separation in a monolithic CFET results in lower parasitics and higher performance gains. In this paper, using a CFET fabrication process flow, we demonstrate functional PMOS FinFET bottom devices and NMOS nanosheet FET top devices. Process development of all the critical modules to enable these devices are presented. Monolithic CFET integration scheme could enable the ultimate device footprint scaling required in future technology nodes.
- Published
- 2020
8. Scaled, Novel Effective Workfunction Metal Gate Stacks for Advanced Low-VT, Gate-All-Around Vertically Stacked Nanosheet FETs with Reduced Vertical Distance between Sheets
- Author
-
V. Peña, Romain Ritzenthaler, D. Jang, X. Zhou, G. Mannaert, T. Miyashita, A. Oliveira, K. Kenis, A. Veloso, Gaetano Santoro, Adrian Chasin, Farid Sebaai, Lin Yongjing, J. Machillot, K. Devriendt, Eddy Simoen, Naoto Horiguchi, Naomi Yoshida, T. Hopf, O. Richard, Hans Mertens, S.-C. Chen, J. Versluijs, and Min-Soo Kim
- Subjects
Materials science ,business.industry ,Vertical distance ,Optoelectronics ,business ,Metal gate ,Nanosheet - Published
- 2019
9. Replacement Metal Contact Using Sacrificial ILD0 for Wrap Around Contact in Scaled FinFET Technology
- Author
-
Katia Devriendt, T. Hopf, Naoto Horiguchi, Antoine Pacco, Lieve Teugels, Dan Mocuta, Steven Demuynck, E. Altamirano Sanchez, Christa Vrancken, A. Dangol, S-A. Chew, Liping Zhang, and J. Versluijs
- Subjects
Materials science ,Flow (psychology) ,Contact resistance ,law.invention ,PMOS logic ,Metal ,law ,visual_art ,Wrap around ,visual_art.visual_art_medium ,Composite material ,Spark plug ,Contact formation ,NMOS logic - Abstract
In this work, we propose replacement metal contact (RMC) flow by using sacrificial ILD0 that is suitable for wrap around contact (WAC). RMC minimize erosion of gate plug, spacer and S/D area at scaled contact formation. The concept of the flow has been demonstrated in short loop flow with ~50% contact resistance improvement for both NMOS, Si:P and PMOS, SiGe:B.
- Published
- 2018
10. Electrical Characterization of Epitaxial Graphene Field-Effect Transistors with High-k Al2O3 Gate Dielectric Fabricated on SiC Substrates
- Author
-
Konstantin Vassilevski, Anthony O'Neill, Nicholas G. Wright, Enrique Escobedo-Cousin, T. Hopf, George Wells, Michael R. C. Hunt, Jonathan P. Goss, Peter King, and Alton B. Horsfall
- Subjects
Materials science ,business.industry ,Graphene ,Mechanical Engineering ,Transconductance ,Bilayer ,Gate dielectric ,Nanotechnology ,Condensed Matter Physics ,law.invention ,Atomic layer deposition ,Mechanics of Materials ,Gate oxide ,law ,Optoelectronics ,General Materials Science ,Field-effect transistor ,business ,High-κ dielectric - Abstract
Top-gated field-effect transistors have been created from bilayer epitaxial graphene samples that were grown on SiC substrates by a vacuum sublimation approach. A high-quality dielectric layer of Al2O3was grown by atomic layer deposition to function as the gate oxide, with an e-beam evaporated seed layer utilized to promote uniform growth of Al2O3over the graphene. Electrical characterization has been performed on these devices, and temperature-dependent measurements yielded a rise in the maximum transconductance and a significant shifting of the Dirac point as the operating temperature of the transistors was increased.
- Published
- 2015
11. Solid Phase Growth of Graphene on Silicon Carbide by Nickel Silicidation: Graphene Formation Mechanisms
- Author
-
Jonathan P. Goss, Anthony O'Neill, Nicholas G. Wright, Enrique Escobedo-Cousin, Konstantin Vassilevski, A. B. Horsfall, and T. Hopf
- Subjects
Materials science ,Annealing (metallurgy) ,Atomic force microscopy ,Graphene ,Mechanical Engineering ,chemistry.chemical_element ,Nanotechnology ,Condensed Matter Physics ,law.invention ,symbols.namesake ,Few layer graphene ,chemistry.chemical_compound ,Nickel ,Chemical engineering ,chemistry ,Mechanics of Materials ,law ,symbols ,Silicon carbide ,General Materials Science ,Raman spectroscopy ,Raman scattering - Abstract
This work presents experimental evidence of the formation mechanisms of few-layer graphene (FLG) films on SiC by nickel silicidation. FLG is formed by annealing of a 40 nm thick Ni layer on 6H-SiC at 1035ºC for 60 s, resulting in a Ni2Si layer which may be capped by any Ni that did not react during annealing. It has been proposed that FLG forms on top of the Ni during the high temperature stage. In contrast, during cooling, carbon atoms which were released during the silicidation reaction may diffuse back towards the Ni2Si/SiC interface to form a second FLG film. After annealing, layer-by-layer de-processing was carried out in order to unequivocally identify the FLG at each location using Atomic force microscopy (AFM) and Raman spectroscopy.
- Published
- 2014
12. Optimizing the Vacuum Growth of Epitaxial Graphene on 6H-SiC
- Author
-
Enrique Escobedo-Cousin, Michael R. C. Hunt, Konstantin Vassilevski, Jonathan P. Goss, A. B. Horsfall, Anders J. Barlow, Nicholas G. Wright, George Wells, T. Hopf, and Anthony O'Neill
- Subjects
Materials science ,Graphene ,business.industry ,Mechanical Engineering ,Ultra-high vacuum ,Nanotechnology ,Condensed Matter Physics ,Growth time ,law.invention ,Mechanics of Materials ,law ,Sic substrate ,Optoelectronics ,General Materials Science ,Sublimation (phase transition) ,Epitaxial graphene ,Rapid thermal annealing ,business - Abstract
Multilayer epitaxial graphene has been grown on the Si-face of 6H-SiC on-axis commercial substrates under high vacuum conditions and at growth temperatures up to 1900 °C, utilizing the standard sublimation growth technique and a modified SiC rapid thermal annealing system which allows for excellent control of heating and cooling ramp rates. The peak growth temperature and total growth time during the graphene growth step, along with the temperature of the initial substrate etch step, were all systematically varied in order to ascertain their effect on the formation of epitaxial graphene films on the SiC surface. Modifying the substrate etch temperature was found to have a significant impact on the morphology of the SiC substrate, with a uniform step structure only developing across the surface within a narrow temperature band. Furthermore, changing the values of the peak temperature or the growth time during the growth step were both shown to have a large effect on the resultant materials properties of the graphene films.
- Published
- 2014
13. Improvement of the CMOS characteristics of bulk Si FinFETs by high temperature ion implantation
- Author
-
Stefan Kubicek, G. Mannaert, Katia Devriendt, J. Cournoyer, Romain Ritzenthaler, Y. Kikuchi, Jose Ignacio del Agua Borniquel, Dan Mocuta, A. Waite, Z. Tao, Naoto Horiguchi, R. Schreutelkamp, Steven Demuynck, Min-Soo Kim, T. Hopf, Tom Schram, Naushad Variam, and S. A. Chew
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Fin (extended surface) ,Ion ,Ion implantation ,CMOS ,chemistry ,Logic gate ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business ,Metal gate ,Hard mask - Abstract
For the first time, we have established a replacement metal gate complementary metal-oxide-semiconductor process flow for the high temperature ion implantation of bulk Si fin field-effect-transistors on a 45-nm fin pitch design rule, using high temperature spin-on-carbon hard mask and a dedicated patterning process. In this paper, the advantages of high temperature ion implantation and a detailed process flow of the dedicated patterning are explained. Electrical characteristics of metal-oxide-semiconductor field-effect-transistors and ring oscillators are evaluated.
- Published
- 2016
14. Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gates
- Author
-
Kathy Barla, G. Mannaert, Romain Ritzenthaler, Harold Dekkers, S. A. Chew, Hans Mertens, J. Geypen, Stefan Kubicek, Patrick Carolan, Adrian Chasin, Lars-Ake Ragnarsson, Tom Schram, Andriy Hikavyy, A. Dangol, Hugo Bender, Kurt Wostyn, Min-Soo Kim, Dan Mocuta, Naoto Horiguchi, Steven Demuynck, Katia Devriendt, T. Hopf, Erik Rosseel, Y. Kikuchi, N. Bosman, and Eddy Kunnen
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Doping ,Transistor ,Nanowire ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Gallium arsenide ,chemistry.chemical_compound ,CMOS ,chemistry ,law ,Logic gate ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Metal gate - Abstract
We report on the CMOS integration of vertically stacked gate-all-around (GAA) silicon nanowire MOSFETs, with matched threshold voltages (V t, sat ∼ 0.35 V) for N- and P-type devices. The Vt setting is enabled by nanowire-compatible dual-work-function metal integration in a high-k last replacement metal gate process. Furthermore, we demonstrate that N- and P-type junction formation can influence nanowire release differently due to both implantation-induced SiGe/Si intermixing and doping effects. These findings underline that junction formation and nanowire release require co-optimization in GAA CMOS technologies.
- Published
- 2016
15. Optimising the Growth of Few-Layer Graphene on Silicon Carbide by Nickel Silicidation
- Author
-
Anthony O'Neill, Peter J. Cumpson, T. Hopf, Enrique Escobedo-Cousin, Nicholas G. Wright, Jonathan P. Goss, Alton B. Horsfall, and Konstantin Vassilevski
- Subjects
Materials science ,Fabrication ,Graphene ,business.industry ,Annealing (metallurgy) ,Mechanical Engineering ,Nanotechnology ,Dielectric ,Condensed Matter Physics ,Epitaxy ,law.invention ,chemistry.chemical_compound ,symbols.namesake ,X-ray photoelectron spectroscopy ,chemistry ,Mechanics of Materials ,law ,Silicon carbide ,symbols ,Optoelectronics ,General Materials Science ,business ,Raman spectroscopy - Abstract
Few-layers graphene films (FLG) were grown by local solid phase epitaxy on a semi-insulating 6H-SiC substrate by annealing Ni films deposited on the Si and C-terminated faces of the SiC. The impact of the annealing process on the final quality of the FLG films is studied using Raman spectroscopy. X-ray photoelectron spectroscopy was used to verify the presence of graphene on the sample surface. We also demonstrate that further device fabrication steps such as dielectric deposition can be carried out without compromising the FLG films integrity.
- Published
- 2013
16. Self-aligned quadruple patterning to meet requirements for fins with high density
- Author
-
David Hellin, Fung Suong Ou, T. Hopf, Efraín Altamirano-Sánchez, Daniel Sobieski, Vassilios Constantoudis, William Clark, Gian Lorusso, Zheng Tao, Anil Gunay-Demirkol, and Jean-Luc Everaert
- Subjects
Materials science ,business.industry ,High density ,Optoelectronics ,business - Published
- 2016
17. Formation of nanoclusters with varying Pb/Se concentration and distribution after sequential Pb+ and Se+ ion implantation into SiO2
- Author
-
T. Hopf, Thomas Osipowicz, Andreas Markwitz, John Kennedy, Arndt Mücklich, T. K. Chan, and D.A. Carder
- Subjects
Nuclear and High Energy Physics ,Materials science ,Silicon ,Annealing (metallurgy) ,Analytical chemistry ,chemistry.chemical_element ,Rutherford backscattering spectrometry ,Nanoclusters ,Ion implantation ,Nanocrystal ,chemistry ,Transmission electron microscopy ,Thin film ,Instrumentation - Abstract
First results obtained from electron beam annealed sequentially implanted Pb+ (29 keV) and Se+ (25 keV) ions into a SiO2 matrix are presented. Key results from Rutherford backscattering spectrometry and transmission electron microscopy investigations are: (1) Pb and Se atoms are found to bond in the SiO2 matrix during implantation, forming into nanoclusters even prior to the annealing step, (2) Pb and Se atoms are both present in the sample after annealing at high temperature (T = 760 °C, t = 45 min) and form into PbSe nanoclusters of varying sizes within the implanted region, and (3) the broader concentration profile of implanted Se creates a number of secondary features throughout the SiO2 film, including voids and hollow shell Se nanoclusters. A sequential ion implantation approach has several advantages: selected areas of nanocrystals can be formed for integrated circuits, the technique is compatible with present silicon processing technology, and the nanocrystals are embedded in an inert matrix – making them highly durable. In addition, a higher concentration of nanocrystals is possible than with conventional glass melt techniques.
- Published
- 2012
18. Growth of silicon carbide surface nanocrystals on silicon under high-temperature vacuum annealing
- Author
-
Jérôme Leveneur, T. Hopf, and Andreas Markwitz
- Subjects
Nanostructure ,Materials science ,Silicon ,Annealing (metallurgy) ,Nanocrystalline silicon ,chemistry.chemical_element ,Nanotechnology ,Condensed Matter Physics ,Surfaces, Coatings and Films ,chemistry.chemical_compound ,Chemical engineering ,Nanocrystal ,chemistry ,Desorption ,Silicon carbide ,LOCOS ,Instrumentation - Abstract
The formation and subsequent time-evolution of silicon carbide nanocrystals grown on a silicon surface by high-temperature vacuum annealing was investigated, with the effects of carbon contamination on the properties of these nanostructures being examined. The presence of higher contaminant levels during an annealing step was found to strongly enhance the nanocrystal growth rate, yet conversely to have no effect upon the density of nanocrystals which nucleated on the silicon surface. The physical structure of these objects was then studied in detail using high-resolution imaging and surface analysis techniques.
- Published
- 2011
19. Ion-beam synthesis of 3C-SiC surface layers on silicon
- Author
-
F. Schuette, Andreas Markwitz, John Kennedy, Jérôme Leveneur, and T. Hopf
- Subjects
Materials science ,Ion beam ,Silicon ,Annealing (metallurgy) ,business.industry ,Nanocrystalline silicon ,chemistry.chemical_element ,Nanotechnology ,Surfaces and Interfaces ,General Chemistry ,Condensed Matter Physics ,Surfaces, Coatings and Films ,chemistry.chemical_compound ,Ion implantation ,chemistry ,Materials Chemistry ,Silicon carbide ,Optoelectronics ,business - Published
- 2011
20. SEM/EDS study of metal-assisted oxide desorption
- Author
-
Andreas Markwitz and T. Hopf
- Subjects
Materials science ,Silicon ,technology, industry, and agriculture ,Analytical chemistry ,Nucleation ,Thermal desorption ,Oxide ,chemistry.chemical_element ,Germanium ,Surfaces and Interfaces ,Sputter deposition ,Condensed Matter Physics ,Surfaces, Coatings and Films ,chemistry.chemical_compound ,chemistry ,Desorption ,Materials Chemistry ,Silicon oxide - Abstract
Strongly-enhanced desorption of a thick (100 nm) silicon oxide layer by the pre-sputtering of a thin germanium surface film was observed under high-temperature vacuum annealing conditions. High-resolution SEM imaging reveals that germanium nanoislands are first formed on the sample surface, and that these then act as nucleation centres for the formation of voids in the oxide, leading to a rapid desorption of the silicon oxide layer. EDS analysis of the silicon surface after oxide decomposition shows that the introduced germanium impurities are fully consumed in this desorption process.
- Published
- 2010
21. Shock protection of penetrator-based instrumentation via a sublimation approach
- Author
-
W. J. Karl, T. Hopf, William T. Pike, and S. Kumar
- Subjects
Atmospheric Science ,business.industry ,Aerospace Engineering ,Astronomy and Astrophysics ,Space exploration ,Geophysics ,Space and Planetary Science ,General Earth and Planetary Sciences ,Environmental science ,Sublimation (phase transition) ,Aerospace engineering ,business ,Space research ,Planetary exploration ,Remote sensing - Abstract
It is often necessary for space-borne instrumentation to cope with substantial levels of shock acceleration both in the initial launch phase, as well as during entry, descent and landing in the case of planetary exploration. Current plans for a new generation of penetrator-based space missions will subject the associated on-board instrumentation to far greater levels of shock, and ways must therefore be found to either ruggedize or else protect any sensitive components during the impact phase. In this paper, we present an innovative method of shock protection that is suited for use in a number of planetary environments, based upon the temporary encapsulation of said components within a waxy solid which may then be sublimated to return the instrument back to its normal operation. We have tested this method experimentally using micromachined silicon suspensions under applied shock loads of up to 15,000g, and found that these were able to survive without incurring damage. Furthermore, quality factor measurements undertaken on these suspensions indicate that their mechanical performance remains unaffected by the encapsulation and subsequent sublimation process.
- Published
- 2010
22. Molekularpathologische Diagnostik von Gelenkinfektionen
- Author
-
C. Heisel, M. Lehmann, M. Otto, Christoph Biehl, R.P.H. Schmitz, D. Jacobs, Mark Kriegsmann, Veit Krenn, J. Kriegsmann, N. Arens, H. Thabe, R. Schmitt-Wiedhoff, and T. Hopf
- Subjects
Gel electrophoresis ,HLA-B27 ,Oligoarthritis ,Microbiological culture ,Molecular pathology ,business.industry ,Arthritis ,medicine.disease ,Microbiology ,medicine ,Nucleic acid ,Orthopedics and Sports Medicine ,Reactive arthritis ,business - Abstract
The diagnosis of infections in patients with arthritis and/or joint prostheses requires interdisciplinary cooperation and the use of up-to-date methods. Massive bacterial infection can be identified by bacterial culture, and minimal infection can be detected by molecular pathological methods. These processes include specific enrichment of bacterial and fungal DNA, amplification, and identification of the DNA by gel electrophoresis, sequencing techniques, and chip technologies.Anamnesis (enteral or urogenital infection), the clinical picture (oligoarthritis), and further parameters (e.g., HLA B27 status) are important for the diagnosis of reactive arthritis. In many cases of reactive arthritis, molecular methods allow detection of bacterial DNA or RNA in synovial fluid or tissue. Molecular pathological methods allow the fast and reliable differential diagnosis of granulomatous synovialitis without prior cultivation of bacteria or fungi. The development of new molecular pathological methods for detecting bacterial and fungal nucleic acids will increase diagnostic accuracy.
- Published
- 2009
23. Histopathologische Diagnostik in der Endoprothetik
- Author
-
Lars Morawietz, Mike Otto, W. Klauser, V. Krenn, B. Schwantes, T. Hopf, Thorsten Gehrke, and M. Jakobs
- Subjects
Gynecology ,medicine.medical_specialty ,business.industry ,Prosthesis Durability ,Medicine ,Orthopedics and Sports Medicine ,business - Abstract
Die Standzeit von Endoprothesen groser Gelenke hat in den letzten Jahrzehnten zugenommen. In einer nordamerikanischen Studie wurde fur Huftendoprothesen eine 10-Jahres-Standzeit von 94% ermittelt, europaische Daten weisen Standzeiten von 88–95% aus. Aus Sicht des Pathologen ergeben sich atiologisch folgende wesentliche Erkrankungsgruppen fur die „Pathologie der Endoprothese“, welche zu einer Reduktion der Prothesenstandzeit fuhren: die periprothetische Partikelerkrankung (sog. aseptische Lockerung), die Infektion (septische Lockerung) und die periprothetische Ossifikation und die Arthrofibrose. Vier Typen der Neosynovialitis bzw. der periprothetischen Membran sind in einer Konsensusklassifikation zusammengefasst: abriebinduzierter Typ [Typ I, mittlere Prothesenstandzeit (mPS) 12 Jahre], infektioser Typ (Typ II, mPS=2,5 Jahre), Mischtyp (Typ III, mPS=4,2 Jahre) und Indifferenztyp (Typ IV, mPS=5,5 Jahre). Die Arthrofibrose wird histopathologisch in 3 Grade eingeteilt: Grad 1 benotigt zur Diagnosestellung immer klinische Informationen, wohingegen Grad 2 und 3 eindeutige histopathologische Befunde ausweisen.
- Published
- 2009
24. Propriozeptives Defizit nach Kreuzbandschädigung - afferente Störung oder kompensatorischer Mechanismus?
- Author
-
T. Hess, M. Gleitz, B. Müller, T. Hopf, and U. Mielke
- Subjects
Subluxation ,medicine.medical_specialty ,Proprioception ,medicine.diagnostic_test ,business.industry ,Anterior cruciate ligament ,Physical exercise ,Electromyography ,Neurological disorder ,musculoskeletal system ,medicine.disease ,Biceps ,Surgery ,medicine.anatomical_structure ,Medicine ,Orthopedics and Sports Medicine ,business ,human activities ,Pathological - Abstract
It was suggested that the ACL has not only mechanical functions but also acts as proprioceptive organ. In cruciate deficient knees pathological patterns of muscle control were found. These findings could be caused by a disturbed afferent signal from the disrupted ACL or by secondary changes in muscle innervation, which shall protect the instable knee against subluxation. 33 patients with unilateral operative ACL repair (21 cases with primary suture, 12 cases with autogenous ligamentum-patellae-reconstruction; average post op 36.5 yr) were examined clinically and with the KT 1000 arthrometer (MEDMETRIC Inc.). Patients history was evaluated by using the LYSHOLM score. During a cycling task the electromyographic activity was monitored from the thigh muscles (M. vastus lat. and med., lat. and med. hamstrings). In comparison to the ACL deficient patients, we tested 25 healthy subjects of same age and activity level. In the ACL group the following differences to the normals were found: the M. vastus lat. showed a significantly delayed onset, earlier end and shorter duration. M. vastus med. had the same pattern; the delayed begin of activity and the shorter duration were statistically significant. M. biceps femoris showed a significant later onset and shorter duration. So did the medial hamstrings; the differences, however, were not statistically significant. There was no significant difference between operated and healthy leg in the ACL group. By comparing the primary sutures and the ligamentum-patellae-reconstructions no significant differences were found. The instable patients (KT 1000 > 3 mm) of the ACL group showed more distinct differences in the EMG pattern than the patients with stable knee joints.(ABSTRACT TRUNCATED AT 250 WORDS)
- Published
- 2008
25. Low-Noise Detection System for the Counted Implantation of Single Ions in Silicon
- Author
-
T. Hopf, C. Yang, S. M. Hearne, D. N. Jamieson, E. Gauja, S. E. Andresen, and A. S. Dzurak
- Subjects
Nuclear and High Energy Physics ,Materials science ,Silicon ,business.industry ,Detector ,chemistry.chemical_element ,Substrate (electronics) ,Capacitance ,Ion implantation ,Nuclear Energy and Engineering ,Resist ,chemistry ,Ionization ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,Atomic physics ,business - Abstract
A unique detection system has been developed which allows for the counted implantation of individual low-energy heavy ions into silicon. This system can ensure the placement of individual ions at precise locations within a wafer using an EBL-machined resist mask, and utilizes the generation of ionization within the silicon substrate to allow for the reliable detection of implants down to 14 keV. Due to the necessity for low-noise operation, it is important that both the capacitance of the detectors and their leakage current be reduced as much as possible. To this end, we have now created a detector architecture with a measured capacitance of 0.6 pF and sub-pA leakage current at liquid nitrogen temperature, which has allowed us to achieve a resolution of 410 eV (44.2 electrons RMS) when coupled to low-noise signal-processing electronics and operated at 90 K.
- Published
- 2008
26. Experimentelle Untersuchungen zur Rolle des intramedullären Führungsstabes bei der Entstehung der Fettembolie in der Knieendoprothetik
- Author
-
T. Hess, T. Hopf, and M. Gleitz
- Subjects
Materials science ,genetic structures ,business.industry ,medicine.medical_treatment ,Anatomy ,medicine.disease ,Prosthesis ,Rod ,law.invention ,Intramedullary rod ,law ,Cadaver ,medicine ,Orthopedics and Sports Medicine ,Surgery ,Extrusion ,sense organs ,Fat embolism ,Cadaveric spasm ,Nuclear medicine ,business ,Bar (unit) - Abstract
Fat embolism syndrome (FES) during implantation of an uncemented resurfacing type of total knee replacement is the leading cause of postoperative mortality. A possible explanation might be the insertion of a solid intramedullary alignment rod, resulting in an intrafemoral pressure increase. On 45 cadaveric human femora we measured the resulting intramedullary pressure during insertion of three different alignment rods: a solid rod and a hollow shaped guiding rod, both 10 mm in diameter, and a fluted alignment rod of 8.5 mm in diameter. The highest intramedullary pressures occurred after placement of a solid 10 mm alignment rod (3.77 +/- 0.44 bar), followed by a fluted 8.5 mm rod (2.53 +/- 0.28 bar). In both cases, the increased pressure led to an extrusion of bone marrow contents through the venous system of the proximal femur. The least increase occurred after insertion of a hollow shaped alignment rod (0.26 +/- 0.03 bar) without any fat extrusion. Our study confirms the hypothesis that insertion of solid intramedullary alignment rods during preparation of the femoral shaft results in a dangerous pressure increase, so that embolization can occur. To minimize the risk of a FES, instruments should be modified in a manner, that only hollow shaped alignment rods are used.
- Published
- 2008
27. Kreuzbandverletzung mit Kniegelenkserguß - weshalb ist das Lachman-Zeichen nicht auslösbar?
- Author
-
B. Müller, T. Hopf, M. Gleitz, and S. Rupp
- Subjects
musculoskeletal diseases ,medicine.diagnostic_test ,business.industry ,Anterior cruciate ligament ,Patellar reflex ,Biomechanics ,Knee Joint ,musculoskeletal system ,Lachman test ,medicine.anatomical_structure ,Effusion ,Cadaver ,Anesthesia ,Joint capsule ,Medicine ,Orthopedics and Sports Medicine ,Surgery ,business ,human activities - Abstract
In acute anterior cruciate ligament (ACL) lesions with effusion of the knee joint it is often difficult to realize a positive Lachman sign. It was suggested that the tension of the joint capsule may reduce tibial displacement although the ACL is completely disrupted. In 10 cadaver knee joints the ACL was dissected and the capsule was closed again. By a canula the knee joints were filled with isotonic saline solution in steps of 20 ml up to 100 ml. Before and after dissection of the ACL and during filling the joint we measured the anterior tibial displacement by use of the MEDmetric KT 1000 arthrometer. In a second series we examined 5 patients with acute effusion of the knee joint before and after puncture of the effusion. We detected the electromyographic activity of the quadriceps muscle end and the hamstrings during the KT 1000 test and patellar reflex. In the cadaver tests we found no reduction of the anterior tibial translation while filling the joint with saline solution. The electromyographic examinations showed a reflectory action in the quadriceps muscle and in the hamstrings when the Lachman test was performed. In the quadriceps muscle the electromyographic amplitude was reduced after puncture; the hamstrings showed a drastically reduced amplitude and duration of the signal. When patellar reflex was performed we recorded an electromyographic action in both muscle groups, too. Puncture could only reduce the signals of the hamstrings. Our results suggest that the reduction of the anterior drawer and the Lachman test in acute effusion is caused not mechanically by the tension of the capsule but by a reflectory muscle action of the hamstrings.
- Published
- 2008
28. Synovialitis-Score: Wertigkeit histopathologischer Diagnostik bei unklaren Arthritiden
- Author
-
S. Weiner, Veit Krenn, H. Schausten, M. Jakobs, H. Rothschenk, T. Hopf, Lars Morawietz, and M. G. Krukemeyer
- Subjects
Gynecology ,medicine.medical_specialty ,Rheumatology ,business.industry ,medicine ,business ,Chronic synovitis - Abstract
Die histopathologische Begutachtung von Synovialisbiopsaten besitzt einen hohen Stellenwert. Die Wertigkeit fur entzundliche Gelenkerkrankungen war aber ohne standardisierten Bewertungsmodus bis vor kurzem unklar. Der exemplarische Einsatz des Synovialitis-Scores bei 4 Fallen, allesamt mit rezidivierenden Kniegelenkergussen, zeigt den Wert fur Diagnose und Therapie auf.
- Published
- 2007
29. Charge State Control and Relaxation in an Atomically Doped Silicon Device
- Author
-
R. Brenner, Lloyd C. L. Hollenberg, T. Hopf, Andrew S. Dzurak, C. C. Escott, Søren Andresen, David N. Jamieson, C. Yang, Cameron J. Wellard, and R. G. Clark
- Subjects
Millisecond ,Condensed matter physics ,Silicon ,Phonon ,Astrophysics::High Energy Astrophysical Phenomena ,Mechanical Engineering ,Relaxation (NMR) ,Doping ,Analytical chemistry ,chemistry.chemical_element ,Bioengineering ,Charge (physics) ,General Chemistry ,Condensed Matter Physics ,Condensed Matter::Materials Science ,Nanoelectronics ,chemistry ,General Materials Science ,Quantum computer - Abstract
We demonstrate time-resolved control and detection of single-electron transfers in a silicon device implanted with exactly two phosphorus donors. Charge state relaxation at millikelvin temperature is shown to be dominated by phonon emission and background charge fluctuations for low energies, while higher-order processes take over at higher energies. Our results reveal relaxation times for single-donor charge states of several milliseconds, which have significant implications for single-atom nanoelectronics.
- Published
- 2007
30. Facile technique for the removal of metal contamination from graphene
- Author
-
Jonathan P. Goss, T. Hopf, A. B. Horsfall, Anthony O'Neill, George Wells, Michael R. C. Hunt, Enrique Escobedo-Cousin, and Konstantin Vassilevski
- Subjects
Auger electron spectroscopy ,Thin layers ,Low-energy electron diffraction ,Graphene ,Chemistry ,Process Chemistry and Technology ,Nanotechnology ,Contamination ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Chemical engineering ,law ,Monolayer ,Materials Chemistry ,Surface roughness ,Adhesive ,Electrical and Electronic Engineering ,Instrumentation - Abstract
Metal contamination deposited on few-layer graphene (3 ± 1 monolayers) grown on SiC(0001) was successfully removed from the surface, using low cost adhesive tape. More than 99% of deposited silver contamination was removed from the surface via peeling, causing minimal damage to the graphene. A small change in the adhesion of graphene to the SiC(0001) substrate was indicated by changes observed in pleat defects on the surface; however, atomic resolution images show the graphene lattice remains pristine. Thin layers of contamination deposited via an electron gun during Auger electron spectroscopy/low energy electron diffraction measurements were also found to be removable by this technique. This contamination showed similarities to “roughened” graphene previously reported in the literature.
- Published
- 2015
31. Therapie der Transplantatpankreatitis
- Author
-
Ulrich T. Hopf
- Published
- 2015
32. Quantum effects in ion implanted devices
- Author
-
David N. Jamieson, S.M. Hearne, V. Chan, Søren Andresen, R. G. Clark, C. Yang, T. Hopf, Steven Prawer, E. Gauja, Andrew S. Dzurak, Christopher Ian Pakes, and Fay E. Hudson
- Subjects
Nuclear and High Energy Physics ,Materials science ,Photon ,Nanocircuitry ,Ion implantation ,Ion beam ,Quantum dot ,Quantum mechanics ,Coulomb blockade ,Ion beam lithography ,Instrumentation ,Quantum computer - Abstract
Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because of the need to control quantum states at the level of individual atoms, electrons or photons. We have used ion implantation to fabricate devices on the scale of 10 nm that have allowed the development and test of nanocircuitry for the control of charge transport at the level of single electrons. This fabrication method is compatible with the construction of devices that employ counted P dopants in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon substrates by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved. Prototype devices fabricated by this method have been used to investigate quantum effects in the control and transport of single electrons with potential applications to solid state quantum information processing devices.
- Published
- 2006
33. Ion beam induced charge and numerical modeling study of novel detector devices for single ion implantation
- Author
-
C. Yang, David N. Jamieson, T. Hopf, Andrew S. Dzurak, S.M. Hearne, E. Gauja, Christopher Ian Pakes, and R. G. Clark
- Subjects
Nuclear and High Energy Physics ,Fabrication ,Materials science ,Ion beam ,Silicon ,Physics::Instrumentation and Detectors ,business.industry ,Detector ,chemistry.chemical_element ,Nanotechnology ,Substrate (electronics) ,Electrostatic induction ,Signal ,Ion ,chemistry ,Optoelectronics ,business ,Instrumentation - Abstract
In the near future devices which are fabricated from shallow arrays of few and single atoms will exploit quantum mechanical rules to perform useful functions including quantum computation. Fabrication of these devices presents formidable technological challenges. We have developed a single ion implantation system that is capable of verifiable fabrication of single donor devices using 14 keV 31 P ions implanted into ultra-pure, high resistivity silicon substrates based on the technique of Ion Beam Induced Charge (IBIC). A detection system with integrated detector electrodes registers the charge transient from a single ion impact which is used to signal the implantation of an ion into the substrate. We describe here the use of IBIC with MeV ions to study the charge collection efficiency of the detector electrodes. By using three dimensional numerical technology computer-aided design (TCAD) models for the decrease in the IBIC signal as a function of distance from the detector electrode, we can obtain an accurate measurement of the resistivity of the silicon substrate, allowing confirmation of the values specified by the supplier, and providing us with confidence in the numerical models used by TCAD for simulation. This technique has advantages over resistivity measurements by four-point probes because it is spatially resolved, probes through the intact oxide, and can be done without making contact to the device in the area of the probe.
- Published
- 2005
34. Phase correlations of elemental maps using nuclear microscopy
- Author
-
David N. Jamieson, Chris Ryan, B. Rout, and T. Hopf
- Subjects
Nuclear and High Energy Physics ,Chemistry ,Phase correlation ,Microscopy ,Resolution (electron density) ,Phase (waves) ,Mineralogy ,Biological system ,Instrumentation ,Image resolution ,Microanalysis ,Beam (structure) ,Phase diagram - Abstract
In complex multi-elemental samples it is often necessary to determine the presence of various chemical phases. More complexity arises if it is also necessary to determine the spatial distribution of these phases. Here we present a new technique, based on the elemental maps, for the study of the phase distribution of multi-elemental samples. This technique uses the elemental maps obtained with nuclear microscopy to extract the spatially distributed phase information. We will explain the basic technique of phase correlation mapping, and then provide simulated and experimental results to demonstrate its capability in materials analysis. The simulations show the effect of the beam spatial resolution on the correlation maps and the experimental results show the phase correlation maps of elements in an array of phosphors from a video tube.
- Published
- 2003
35. Dirac point and transconductance of top-gated graphene field-effect transistors operating at elevated temperature
- Author
-
Michael R. C. Hunt, Konstantin Vassilevski, A. B. Horsfall, George Wells, Anthony O'Neill, Jonathan P. Goss, Peter J. King, Enrique Escobedo-Cousin, T. Hopf, and Nicholas G. Wright
- Subjects
Atomic layer deposition ,Condensed matter physics ,Gate oxide ,Chemistry ,Graphene ,law ,Transconductance ,Gate dielectric ,General Physics and Astronomy ,Field-effect transistor ,Dielectric ,Graphene nanoribbons ,law.invention - Abstract
Top-gated graphene field-effect transistors (GFETs) have been fabricated using bilayer epitaxial graphene grown on the Si-face of 4H-SiC substrates by thermal decomposition of silicon carbide in high vacuum. Graphene films were characterized by Raman spectroscopy, Atomic Force Microscopy, Scanning Tunnelling Microscopy, and Hall measurements to estimate graphene thickness, morphology, and charge transport properties. A 27 nm thick Al2O3 gate dielectric was grown by atomic layer deposition with an e-beam evaporated Al seed layer. Electrical characterization of the GFETs has been performed at operating temperatures up to 100 °C limited by deterioration of the gate dielectric performance at higher temperatures. Devices displayed stable operation with the gate oxide dielectric strength exceeding 4.5 MV/cm at 100 °C. Significant shifting of the charge neutrality point and an increase of the peak transconductance were observed in the GFETs as the operating temperature was elevated from room temperature to 100 °C.
- Published
- 2014
36. Evidence for a selected humoral immune response encoded by VH4 family genes in the synovial membrane of a patient with rheumatoid arthritis (RA)
- Author
-
Michael Pfreundschuh, G. Carbon, Lorenz Trümper, Angela Gause, T Hopf, and J Voswinkel
- Subjects
Knee Joint ,Molecular Sequence Data ,Immunology ,Immunoglobulin Variable Region ,Gene Expression ,Immunoglobulins ,Complementarity determining region ,Biology ,Arthritis, Rheumatoid ,Antigen ,Sequence Homology, Nucleic Acid ,medicine ,Humans ,Immunology and Allergy ,Gene Rearrangement, B-Lymphocyte ,Gene ,B cell ,Aged ,Genetics ,B-Lymphocytes ,Base Sequence ,Genes, Immunoglobulin ,Synovial Membrane ,Original Articles ,Gene rearrangement ,genomic DNA ,medicine.anatomical_structure ,Multigene Family ,Mutation ,biology.protein ,Female ,Antibody ,Synovial membrane ,Immunoglobulin Heavy Chains - Abstract
The analysis of rearranged antibody-encoding genes from B cell foci in rheumatoid synovial tissue has characterized these cells as highly mutated memory B cells with a high proportion of members of the VH4 family. In order to characterize further the VH4 response in one patient, B cell-rich areas from different sections of synovial membrane (SM) were identified by CD20 staining, isolated by microdissection and pooled, in order to analyse highly enriched B cells without selection by in vitro culture procedures. From DNA of about 5 x 10(3) B cells rearranged VH genes were amplified by polymerase chain reaction (PCR) and cloned. Sequencing of 11 clones containing rearranged VH4 gene products revealed that seven were potentially functional, and all were mutated with 84-96% homology to known germ-line (gl) genes and VH4 gl genes amplified from the patient's genomic DNA. Analysis of the complementarity determining region (CDR) 3 revealed that two products represented members of one B cell clone which differed by five nucleotide changes. Three of the five mutations encoded amino acid replacements in CDRs indicating antigen-driven expansion of one specific clone. Additional analyses of 25 members of three B cell clones from isolated aggregates showing intraclonal diversity in one of three clones provided further evidence that antigen selection takes place in the SM. Overall, the pattern of mutations and the replacement to silent (R:S) ratios were diverse, with six products indicating antigen selection by their high R:S ratios in CDRs. Although DNA analysis does not allow a characterization of antibody specificities, we can conclude from our analysis does not allow a characterization of antibody specificities, we can conclude from our analysis of antibody-encoding genes that selection by antigen and expansion of specific clones occur in the SM against the background of polyclonal activation.
- Published
- 1996
37. Frakturen nach Kniegelenkstotalendoprothese
- Author
-
C. Hopf, T. Hopf, and J. D. Rompe
- Subjects
Gynecology ,medicine.medical_specialty ,business.industry ,Medicine ,Surgery ,business - Abstract
Es werden die Ergebnisse der operativen Behandlung bei 20 Patienten mit Femur-oder Tibiafrakturen im Mittel 5,2 Jahre nach Kniegelenkstotalendoprothesenimplantation erortert. Den Knochen vorschadigende Erkrankungen (chronische Polyarthritis, Osteoporose oder Prothesenlockerung) begunstigen die Entstehung einer postoperativen Fraktur. Bei jungeren Patienten und guter Knochenqualitat bewahrt sich die extramedullare Verplattung oder Verschraubung der Fraktur, wahrend bei Mehrfragmentfrakturen und alteren Patienten die intramedullare Fixation durch einen langen Prothesenstiel, eventuell mit zusatzlicher Osteosynthese, eine schnellere Mobilisationsfahigkeit gewahrleistet. Die operative Versorgung geht mit einer hoheren Komplikationsrate und einer verzogerten Belastungsfahigkeit einher, die Rate an Reoperationen und unbefriedigenden Ergebnissen ist hoher als bei Primarimplantationen, wobei das hohe Durchschnittsalter der Patienten (73,4 Jahre) berucksichtigt werden mus. Eine mogliche Verwendung eines Femurtotalimplantats mus unter dem kritischen Gesichtspunkt gesehen werden, das eine derartige Versorgung patienten- und implantatindividuell erfolgen sollte, um zusatzliche knocherne Schadigungen nichtgelockerter Gelenkanteile zu vermeiden.
- Published
- 1996
38. Constitutive expression of c-fos and c-jun, overexpression of ets-2, and reduced expression of metastasis suppressor gene nm23-H1 in rheumatoid arthritis
- Author
-
A Ermis, R Hanselmann, C Welter, W Henn, T Hopf, I Herlitzka, K Remberger, and Steven Dooley
- Subjects
Tumor suppressor gene ,Molecular Sequence Data ,Immunology ,Gene Expression ,Arthritis ,Trisomy ,Biology ,Polymerase Chain Reaction ,General Biochemistry, Genetics and Molecular Biology ,Proto-Oncogene Protein c-ets-2 ,Arthritis, Rheumatoid ,Genes, jun ,Rheumatology ,Proto-Oncogene Proteins ,Osteoarthritis ,Gene expression ,medicine ,Humans ,Immunology and Allergy ,Northern blot ,DNA Primers ,Monomeric GTP-Binding Proteins ,Base Sequence ,Oncogene ,Synovial Membrane ,c-jun ,Genes, fos ,NM23 Nucleoside Diphosphate Kinases ,Blotting, Northern ,medicine.disease ,DNA-Binding Proteins ,Repressor Proteins ,Metastasis Suppressor Gene ,medicine.anatomical_structure ,Nucleoside-Diphosphate Kinase ,Trans-Activators ,Cancer research ,Synovial membrane ,Chromosomes, Human, Pair 7 ,Signal Transduction ,Transcription Factors ,Research Article - Abstract
OBJECTIVES: To identify genes that are involved in the development and progression of rheumatoid arthritis (RA). METHODS: We used a multiple gene analysis system and a set of available genes participating in processes such as proliferation, differentiation, tumour progression, and metastasis, to identify their RA related expression. Synovial tissues from 22 patients with RA were evaluated in comparison with those from six patients with osteoarthritis and two patients with non-inflamed joints as controls, using northern blot and reverse transcriptase polymerase chain reaction experiments. RESULTS: Our data confirm the role of c-fos and c-jun as constitutive signal transmitters in solid RA tissues, thus demonstrating the potential of the approach. Activation of both genes persisted through multiple passages of the cells in tissue cultures derived from the synovial lining of RA tissues. There was an increased expression of ets-2 in 30% of RA samples and an up to 30-fold decreased expression of the potential metastasis suppressor gene nm23-H1 in 90% of RA tissues, compared with control tissues. CONCLUSIONS: The data presented show for the first time a significant decrease of nm23-H1 expression in RA, which is possibly involved in local invasiveness, and a strong activation of the ets-2 nuclear oncogene in about one third of RA tissues, which may also be part of a pathway leading to advanced disease stages. The constitutive expression of c-fos and c-jun in RA tissue most probably results from a continuing inflammatory stimulus. These findings with cell cultures suggest an intrinsic activation mechanism of these early response genes in RA.
- Published
- 1996
39. Shoulder Problems in High Level Swimmers - Impingement, Anterior Instability, Muscular Imbalance?
- Author
-
K. Berninger, T. Hopf, and S. Rupp
- Subjects
Adult ,Joint Instability ,Male ,Shoulder ,medicine.medical_specialty ,Adolescent ,Rotation ,Sports medicine ,Pain ,Physical Therapy, Sports Therapy and Rehabilitation ,Physical examination ,medicine ,Humans ,Orthopedics and Sports Medicine ,Rotator cuff ,Musculoskeletal Diseases ,Range of Motion, Articular ,Exercise physiology ,Muscle, Skeletal ,Exercise ,Swimming ,medicine.diagnostic_test ,biology ,business.industry ,Athletes ,biology.organism_classification ,medicine.anatomical_structure ,Physical therapy ,Upper limb ,Anterior instability ,Female ,business ,Range of motion - Abstract
The objective was to study prevalence and underlying pathology of "swimmer's shoulder". Twenty-two competitive swimmers of national "D-Kader" (elite development swimmers) were evaluated by means of questionnaire, clinical examination and isokinetic testing of external rotation and internal rotation. At the examination current interfering pain necessitating a cessation or reduction of practice was found in 5 (23%) athletes. At isokinetic testing 8 (36%) athletes complained of shoulder pain. Any history of pain was seen in 14 (64%) swimmers. A positive impingement sign was noted in 11 (50%) athletes. Apprehension sign which is indicative of anterior instability was found in 11 (50%) swimmers. Clinical equivalents of dysfunction of scapulothoracic muscles such as scapular winging (5 athletes) and shoulder protraction (12 athletes) were noted. For comparison of results of isokinetic testing a control group of non-swimmers was selected matching the group of swimmers exactly in terms of age, sex and dominant side. External rotation/internal rotation ratio of peak torque and total work at 60 deg/sec and 180 deg/sec was significantly lower in swimmers than in controls. The ratio was independent of sex, dominant side, history of pain and pain at examination. During internal rotation competitive swimmers produced significantly higher peak torques and total work than controls. There was no significant difference in external rotation. In conclusion there are several different abnormalities of function contributing to the pathology of "swimmer's shoulder":--Laxity of anterior-inferior capsuloligamentous structures with atruamatic anterior instability due to repetitive overload.--Impingement with rotator cuff tendinitis.--Muscular imbalance of the rotator cuff muscles and scapulothoracic dysfunction.
- Published
- 1995
40. Single-Ion Implantation for the Development of Si-Based MOSFET Devices with Quantum Functionalities
- Author
-
Jessica van Donkelaar, Brett C. Johnson, David N. Jamieson, T. Hopf, S. Thompson, A. Alves, Jeffrey C. McCallum, and Changyi Yang
- Subjects
Materials science ,ComputerSystemsOrganization_COMPUTERSYSTEMIMPLEMENTATION ,Context (language use) ,02 engineering and technology ,Hardware_PERFORMANCEANDRELIABILITY ,01 natural sciences ,Ion ,Physics::Plasma Physics ,0103 physical sciences ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,lcsh:TA401-492 ,General Materials Science ,Electronics ,010306 general physics ,Quantum ,Hardware_REGISTER-TRANSFER-LEVELIMPLEMENTATION ,Quantum computer ,Dopant ,business.industry ,General Engineering ,021001 nanoscience & nanotechnology ,Ion implantation ,Optoelectronics ,lcsh:Materials of engineering and construction. Mechanics of materials ,0210 nano-technology ,business - Abstract
Interest in single-ion implantation is driven in part by research into development of solid-state devices that exhibit quantum behaviour in their electronic or optical characteristics. Here, we provide an overview of international research work on single ion implantation and single ion detection for development of electronic devices for quantum computing. The scope of international research into single ion implantation is presented in the context of our own research in the Centre for Quantum Computation and Communication Technology in Australia. Various single ion detection schemes are presented, and limitations on dopant placement accuracy due to ion straggling are discussed together with pathways for scale-up to multiple quantum devices on the one chip. Possible future directions for ion implantation in quantum computing and communications are also discussed.
- Published
- 2012
41. APPLICATION OF PENETRATORS WITHIN THE SOLAR SYSTEM
- Author
-
Simeon Barber, N. Wells, R. A. Gowen, Axel Hagermann, T. Hopf, Craig Theobald, Alan Smith, Adrian P. Jones, Yang Gao, Philip Church, S. Kumar, Andrew J. Ball, Ian A. Crawford, Patrick Brown, Kerrin Rees, Simon Sheridan, William T. Pike, and Katherine H. Joy
- Subjects
Engineering ,Solar System ,Atmospheric physics ,Resource (biology) ,business.industry ,Effects of global warming ,Earth science ,Ocean science ,Space Science ,business ,Planetary exploration - Abstract
About the book: This invaluable volume set of Advances in Geosciences continues the excellent tradition of the Asia-Oceania scientific community in providing the most up-to-date research results on a wide range of geosciences and environmental science. The information is vital to the understanding of the effects of climate change, extreme weathers on the most populated regions and fastest moving economies in the world. Besides, these volumes also highlight original papers from many prestigious research institutions which are doing cutting edge study in atmospheric physics, hydrological science and water resource, ocean science and coastal study, planetary exploration and solar system science, seismology, tsunamis, upper atmospheric physics and space science.
- Published
- 2011
42. Ordered array of self-assembled SiC nanocrystals fabricated by selective oxide desorption and nanosphere lithography
- Author
-
Jérôme Leveneur, Andreas Markwitz, and T. Hopf
- Subjects
Materials science ,Silicon ,Mechanical Engineering ,Nanocrystalline silicon ,chemistry.chemical_element ,Bioengineering ,Nanotechnology ,Equivalent oxide thickness ,Strained silicon ,General Chemistry ,Oxide thin-film transistor ,Monocrystalline silicon ,chemistry ,Mechanics of Materials ,General Materials Science ,LOCOS ,Electrical and Electronic Engineering ,Silicon oxide - Abstract
We have developed a novel technique based on the selective desorption of an oxide film in order to grow ordered arrays of silicon carbide nanocrystals on a silicon surface. These nanocrystals form as a byproduct of void nucleation in the oxide during the high-temperature vacuum annealing of silicon, a process which normally produces a random distribution of nanocrystals across the silicon surface after its oxide layer has been fully desorbed. By the pre-deposition of a thin layer of excess silicon on the oxide surface through a patterned lithography mask, site-specific nucleation of voids in the silicon oxide can instead be achieved during the annealing step, leading to the growth of silicon carbide nanocrystals in regular patterns over the silicon surface.
- Published
- 2010
43. Design, fabrication and testing of a micromachined seismometer with NANO-G resolution
- Author
-
William T. Pike, S. Kumar, T. Stemple, S. Vijendran, T. Hopf, I. M. Standley, and W. J. Karl
- Subjects
Seismometer ,Surface micromachining ,Engineering ,Acceleration ,Fabrication ,Transducer ,business.industry ,Acoustics ,Capacitive sensing ,business ,Accelerometer ,Noise (electronics) - Abstract
We have designed a high resolution microseismometer by combining a low-resonant-frequency, high-quality-factor suspension with a sensitive lateral capacitive transducer under electromagnetic feedback control. It has been fabricated and tested to demonstrate for the first time a micromachined seismometer capable of resolving the Earth's ambient seismicity and with the best acceleration resolution of any micromachined device to date, with a self noise down to 4ng/√(Hz).
- Published
- 2009
44. [Applications of molecular pathology in the diagnosis of joint infections]
- Author
-
J, Kriegsmann, T, Hopf, D, Jacobs, N, Arens, V, Krenn, R, Schmitt-Wiedhoff, M, Kriegsmann, C, Heisel, C, Biehl, H, Thabe, R P H, Schmitz, M, Lehmann, and M, Otto
- Subjects
DNA, Bacterial ,Humans ,Molecular Probe Techniques ,Arthritis, Reactive ,Polymerase Chain Reaction - Abstract
The diagnosis of infections in patients with arthritis and/or joint prostheses requires interdisciplinary cooperation and the use of up-to-date methods. Massive bacterial infection can be identified by bacterial culture, and minimal infection can be detected by molecular pathological methods. These processes include specific enrichment of bacterial and fungal DNA, amplification, and identification of the DNA by gel electrophoresis, sequencing techniques, and chip technologies.Anamnesis (enteral or urogenital infection), the clinical picture (oligoarthritis), and further parameters (e.g., HLA B27 status) are important for the diagnosis of reactive arthritis. In many cases of reactive arthritis, molecular methods allow detection of bacterial DNA or RNA in synovial fluid or tissue. Molecular pathological methods allow the fast and reliable differential diagnosis of granulomatous synovialitis without prior cultivation of bacteria or fungi. The development of new molecular pathological methods for detecting bacterial and fungal nucleic acids will increase diagnostic accuracy.
- Published
- 2009
45. [Histopathologic diagnostics in endoprosthetics: periprosthetic neosynovialitis, hypersensitivity reaction, and arthrofibrosis]
- Author
-
V, Krenn, M, Otto, L, Morawietz, T, Hopf, M, Jakobs, W, Klauser, B, Schwantes, and T, Gehrke
- Subjects
Prosthesis-Related Infections ,Synovitis ,Hypersensitivity ,Humans ,Prostheses and Implants ,Fibrosis ,Prosthesis Failure - Abstract
The durability of endoprosthetic implants of the large joints has increased over the last decades. North American studies have shown a 10-year durability of 94% for prosthetic hip implants, and European studies have shown 10-year durabilities of 88-95%. Pathologists differentiate three etiological disease patterns for the"pathology of endoprosthetics" that lead to reduction of implant durability: 1) periprosthetic particle disease (aseptic loosening), 2) infection, and 3) arthrofibrosis. Four types of neosynovitis/periprosthetic membrane have been determined in a consensus classification: particle-induced type (type I), with a mean prosthesis durability (MPD) of 12 years; infectious type (type II), MPD 2.5 years; combined type (type III), MPD 4.2 years; and indeterminate type (type IV), MPD 5.5 years. There are three histopathologic degrees of arthrofibrosis; grade 1 always needs clinical information for diagnosis, whereas grades 2 and 3 are distinct histopathologic entities.
- Published
- 2009
46. Measuring the Charge and Spin States of Electrons on Individual Dopant Atoms in Silicon
- Author
-
Andrew Ferguson, David N. Jamieson, Marc A. Ahrens, V. Chan, Lloyd C. L. Hollenberg, Alex R. Hamilton, R. G. Clark, Fay E. Hudson, Dane R. McCamey, Martin S. Brandt, Andrew S. Dzurak, R. Brenner, Cameron J. Wellard, David J. Reilly, E. Gauja, C. Yang, T. M. Buehler, Søren Andresen, M. Mitic, Hans Huebl, Wayne D. Hutchison, T. Hopf, and Jeffrey C. McCallum
- Subjects
Materials science ,Spin states ,Silicon ,Dopant ,Schottky diode ,chemistry.chemical_element ,Context (language use) ,Electron ,law.invention ,Condensed Matter::Materials Science ,chemistry ,law ,Atomic physics ,Electron paramagnetic resonance ,Spin (physics) - Abstract
We review an ongoing effort to demonstrate technologies required for quantum computing with phosphorus donors in silicon. The main aspect of our research is to achieve control over charge and spin states of individual dopant atoms. This work has required the development of new techniques for engineering silicon nanodevices at the atomic level. We follow an approach for implanting single phosphorus ions into silicon substrates with integrated p–i–n detectors. Configuring our devices with radio-frequency single-electron transistors (RF-SETs) allows for charge sensing at low temperatures. In this context, we perform measurements of single-electron charge transfer between individual phosphorus donors. In a parallel effort, we employ nanoscale Schottky contacts for populating and depopulating individual dopant atoms. Of particular interest is coherent manipulation of single-electron charge and spin states on individual dopant atoms. Charge manipulation between coupled donor states may be achieved by either external microwave pumping or intrinsic tunnel coupling. Spin manipulation, on the other hand, involves magnetic resonance. In this context, we pursue electrically detected spin resonance in phosphorus-doped devices with a decreasing number of dopant atoms.
- Published
- 2009
47. [Synovitis score: value of histopathological diagnostics in unclear arthritis. Case reports from rheumatological pathological practice]
- Author
-
M, Jakobs, L, Morawietz, H, Rothschenk, T, Hopf, S, Weiner, H, Schausten, M G, Krukemeyer, and V, Krenn
- Subjects
Adult ,Cartilage, Articular ,Male ,Synovitis ,Knee Joint ,Arthritis ,Synovial Membrane ,Arthritis, Rheumatoid ,Diagnosis, Differential ,Synovectomy ,Chronic Disease ,Humans ,Female ,Aged - Abstract
Histopathological assessment of synovial biopsies has an established value. The value for inflammatory joint diseases without standardized rating mechanisms was, however, unknown until recently. The exemplary use of the synovitis score in four cases all including recurrent bruises of the knee joint portrays its value for diagnosis and therapy. Usage of the score includes assessing the enlargement of the lining layer, cellular density of synovial stroma and leucocyte infiltration by giving each a score of 0-3 points and adding them. Presence of high-grade synovitis (or=4 points) in all cases displayed the reason for the joint bruises within a primarily inflammatory, rheumatoid circle. In this report we show the broad variety of uses for the synovitis score dealing with cases of Lyme arthritis, rheumatoid arthritis, seronegative monarthritis and HLA-B27-positive peripheral arthritis.
- Published
- 2007
48. Fabrication of single atom nanoscale devices by ion implantation
- Author
-
T. Hopf, S.M. Hearne, Sergey Rubanov, Steven Prawer, V. Chan, David N. Jamieson, C. Yang, E. Gauja, Christopher Ian Pakes, Andrew S. Dzurak, Fay E. Hudson, Søren Andresen, and R. G. Clark
- Subjects
Microprobe ,Materials science ,Ion implantation ,Photon ,Ion beam ,business.industry ,Quantum dot ,Optoelectronics ,Electron ,Atomic physics ,business ,Ion beam lithography ,Ion - Abstract
Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents a formidable technical challenge because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100 % charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors.
- Published
- 2006
49. Integration of Single Ion Implantation Method in Focused Ion Beam System for Nanofabrication
- Author
-
Steven Prawer, T. Hopf, S.M. Hearne, Christopher Ian Pakes, C. Yang, Fay E. Hudson, S.O. Andresen, E. Gauja, Andrew S. Dzurak, David N. Jamieson, and R. G. Clark
- Subjects
Materials science ,Silicon ,Ion beam mixing ,Physics::Instrumentation and Detectors ,business.industry ,chemistry.chemical_element ,Substrate (electronics) ,Focused ion beam ,Ion ,Ion implantation ,Nanolithography ,Ion beam deposition ,chemistry ,Optoelectronics ,Atomic physics ,business - Abstract
A method of single ion implantation based on the online detection of individual ion impacts on a pure silicon substrate has been implemented in a focused ion beam (FIB) system. The optimized silicon detector integrated with a state-of-art low noise electronic system and operated at a low temperature makes it possible to achieve single ion detection with a minimum energy detection limit about 1 to 3.5 keV in a FIB chamber. The method of single ion implantation is compatible with a nanofabrication process. The lateral positioning of the implantation sites are controlled to nanometer accuracy (~5 nm) using nanofabricated PMMA masks. The implantation depth is controlled by tuning the single ion energy to a certain energy level (5-30 keV). The system has been successfully tested in the detection of 30 keV Si+ single ions. The counting of single ion implantation in each site is achieved by the detection of e-h pairs (an outcome of ionization energy) produced by the ion-solid interaction; each 30 keV Si+ ion implanting through a 5 nm SiO2 surface layer and stopping at a pure silicon substrate produces an average ionization energy about 7.0 keV. A further development for improving a detection limit down to less than 1 keV in FIB for low energy phosphorus implantation and detection is outlined. Fabrication of nanometer-scaled phosphorus arrays for the application of qubits construction is discussed.
- Published
- 2006
50. Optimization of single keV ion implantation for the construction of single P-donor devices
- Author
-
Andrew S. Dzurak, S.M. Hearne, Søren Andresen, Steven Prawer, Christopher Ian Pakes, Fay E. Hudson, David N. Jamieson, G. Tamanyan, T. Hopf, E. Gauja, R. G. Clark, C. Yang, and M. Mitic
- Subjects
Materials science ,Silicon ,business.industry ,Detector ,Analytical chemistry ,chemistry.chemical_element ,Substrate (electronics) ,equipment and supplies ,Ion ,Nanolithography ,Ion implantation ,chemistry ,Ionization ,Optoelectronics ,business ,Electron-beam lithography - Abstract
We report recent progress in single keV ion implantation and online detection for the controlled implantation of single donors in silicon. When integrated with silicon nanofabrication technology this forms the “top down” strategy for the construction of prototype solid state quantum computer devices based on phosphorus donors in silicon. We have developed a method of single ion implantation and online registration that employs detector electrodes adjacent to the area into which the donors are to be implanted. The implantation sites are positioned with nanometer accuracy using an electron beam lithography patterned PMMA mask. Control of the implantation depth of 20 nm is achieved by tuning the phosphorus ion energy to 14 keV. The counting of single ion implantation in each site is achieved by the detection of e-/h+ pairs produced by the implanted phosphorus ion in the substrate. The system is calibrated by use of Mn K-line x-rays (5.9 and 6.4 keV) and we find the ionization energy of the 14 keV phosphorus ions in silicon to be about 3.5-4.0 keV for implants through a 5 nm SiO2 surface layer. This paper describes the development of an improved PIN detector structure that provides more reliable performance of the earlier MOS structure. With the new structure, the energy noise threshold has been minimized to 1 keV or less. Unambiguous detection/counting of single keV ion implantation events were achieved with a confidence level greater than 98% with a reliable and reproducible fabrication process.
- Published
- 2005
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.