65 results on '"Terry A. Spooner"'
Search Results
2. Metal Wet Recess Challenges and Solutions for beyond 7nm Fully Aligned Via Integration
- Author
-
Balasubramanian S. Pranatharthi Haran, B. Peethala, Kedari Matam, Kisik Choi, Nicholas A. Lanzillo, J. Casey, L. Chang, Terry A. Spooner, D. Janes, David L. Rath, Benjamin D. Briggs, Donald F. Canaperi, M. Packiam, Devika Sil, Hosadurga Shobha, and Ryan Kevin J
- Subjects
Materials science ,Process (computing) ,Key (cryptography) ,Contact area ,Engineering physics - Abstract
The Fully aligned via scheme (FAV) is known to mitigate the via misalignment issues that drive a lower Vmax and limits the contact area between the via and the underlying line. Even though the overall benefits of FAV are well known, the key detractors and their contributions are not well understood. One of the key challenges in FAV integration is the need to create of topography which can be either achieved by recessing the metal lines or by selective insulator deposition. Wet recess process has been promising for enabling downstream integration learning of conformal cap deposition, ultra low-k gap-fill, and via landing on recessed area. In this paper wet recess challenges for topography creation and key process improvements that improve the resistance distribution are discussed.
- Published
- 2021
- Full Text
- View/download PDF
3. Structural stability of tight-pitched damascene interconnects
- Author
-
Terry A. Spooner, Koichi Motayama, Nicholas A. Lanzillo, and Sagarika Mukesh
- Subjects
Molecular dynamics ,Materials science ,Trench ,Copper interconnect ,Modulus ,Dielectric ,Bending ,Composite material ,Aspect ratio (image) ,Surface energy - Abstract
The impact of cohesive forces in ruthenium (Ru) is studied for tighter interconnect technologies; such forces can result in bending of lines at these pitches. The sensitivity of these forces to process variations such as aspect ratio of lines, trench profiles, and critical dimensions are studied. It is observed that lower aspect ratio and higher dielectric modulus alleviate the effects of these cohesive forces. An ab-initio molecular dynamics model is used to compare behavior of Ru with that of copper. Higher surface energy of Ru potentially contributes to this bending phenomenon.
- Published
- 2020
- Full Text
- View/download PDF
4. Co-doped Ru liners for highly reliable Cu interconnects with selective Co cap
- Author
-
O. van der Straten, Scott DeVries, H. Seo, Motoyama Koichi, J. Maniscalco, Kisik Choi, Hsiang-Jen Huang, T. Shen, T. Wu, T. Bae, Nicholas A. Lanzillo, Kyu-Charn Park, Kangguo Cheng, S. Hosadurga, and Terry A. Spooner
- Subjects
Materials science ,Chemical engineering ,Co diffusion ,Breakdown voltage ,Degradation (geology) ,Co doped - Abstract
It has been confirmed that Co diffusion from the cap into a Ru liner (resulting in Co depletion at the top of Cu lines) is the root cause of EM degradation for Cu interconnects in the case of using a combination of Ru liner and selective Co cap. Increasing the Co cap thickness is an effective EM degradation remedy, but at the expense of Vbd degradation (breakdown voltage between lines) due to lateral growth of Co. Alternatively, replacing the Co cap with a Ru cap showed marginal EM improvement. Here we report a novel Co-doped Ru liner, which demonstrates a significant EM performance boost by addressing the Co diffusion issue. This Co-doped Ru liner is shown to be a promising liner of choice for Cu interconnects in advanced nodes.
- Published
- 2020
- Full Text
- View/download PDF
5. A Study of Metal on Metal Multiple Patterning Scheme
- Author
-
Terry A. Spooner, James Hsueh-Chung Chen, James J. Kelly, Lawrence A. Clevenger, Ghosh Somnath, Mary-Claire Silvestre, and Yann Mignot
- Subjects
Interconnection ,Materials science ,business.industry ,Process (computing) ,Copper interconnect ,law.invention ,Mandrel ,law ,Process integration ,Multiple patterning ,Optoelectronics ,Photolithography ,business ,Lithography - Abstract
Self-Aligned Multiple Patterning is one of the multiple-patterning techniques to realize sub optical lithography technology nodes. The challenges for advanced interconnect levels with multiple-patterning integration are to control both metal CD and the space between line ends. This can be associated with complicated process integration. In this paper, a novel patterning scheme, metal on metal multiple patterning integration, which significantly simplified the process steps and enabled self-aligned block (SAB), is demonstrated for a single damascene level by process emulation. This novel integration utilizes metal as the mandrel. In addition to that, in this scheme the non-mandrel metal material could be different from the mandrel metal. As a result, mandrel metal could have different electrical or physical properties than the non-mandrel metal. As an example, the mandrel metal could have better reliability to carry large current while the non-mandrel could have lower resistivity for performance.
- Published
- 2020
- Full Text
- View/download PDF
6. Via resistance and reliability trends in copper interconnects with ultra-scaled barrier layers
- Author
-
Huai Huang, Nicholas A. Lanzillo, Motoyama Koichi, Terry A. Spooner, and Robert R. Robison
- Subjects
010302 applied physics ,Materials science ,Physics and Astronomy (miscellaneous) ,Dielectric strength ,Diffusion barrier ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Copper ,chemistry ,0103 physical sciences ,Wetting ,Composite material ,Diffusion (business) ,0210 nano-technology ,Layer (electronics) ,Scaling ,Wetting layer - Abstract
We present a combined experimental and theoretical study of via resistance modulation in Cu interconnects with ultra-scaled diffusion barriers and wetting layers. In particular, we demonstrate that reducing the thickness of the TaN-based diffusion barrier below 1 nm results in a decrease in the measured via resistance, while reducing the thickness of the Co wetting layer below 1 nm has virtually no impact on via resistance. These results are explained using first-principles transport calculations, which show that a 1 nm thick TaN layer is more effective in blocking electrons than a 1 nm thick Co layer. Measurements of time-dependent dielectric breakdown indicate that scaling either TaN or Co layers below 1 nm in thickness results in degraded reliability. These results suggest that there is minimal value in scaling the thickness of Co wetting layers below 1 nm, while scaling TaN diffusion barriers below 1 nm results in a trade-off between performance and reliability.
- Published
- 2020
- Full Text
- View/download PDF
7. Impact of Liner Metals on Copper Resistivity at Beyond 7nm Dimensions
- Author
-
C.-C. Yang, Terry A. Spooner, James Chingwei Li, J. Maniscalco, Hosadurga Shobha, Griselda Bonilla, Motoyama Koichi, Hsiang-Jen Huang, Takeshi Nogami, Theodorus E. Standaert, and Nicholas A. Lanzillo
- Subjects
010302 applied physics ,Materials science ,Scattering ,chemistry.chemical_element ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,Thermal conduction ,01 natural sciences ,Copper ,chemistry ,Electrical resistivity and conductivity ,0103 physical sciences ,Grain boundary ,Composite material ,0210 nano-technology ,Temperature coefficient ,Cobalt - Abstract
The impacts of ruthenium and cobalt liners on copper resistivity have been investigated at beyond 7nm dimensions. Liner metal conduction was carefully evaluated in a Cu resistivity derivation using the temperature coefficient of resistivity (TCR) approach. Cu resistivity with Ru liner is higher than with a Co liner by 10-15%, which is verified by RC plot. The resistivity difference is attributed to interface scattering and possibly grain boundary scattering. Interface ab initio calculations show 3-7% increase of Cu resistivity from Co liner to Ru liner.
- Published
- 2018
- Full Text
- View/download PDF
8. Strategy of Insertion of Merge Features in a Sea of Wires SADP Integration
- Author
-
Martin O'Toole, Louis J. Lanzerotti, Atsushi Ogino, Terry A. Spooner, Sean Reidy, Craig Child, James Hsueh-Chung Chen, and Lawrence A. Clevenger
- Subjects
010302 applied physics ,Mandrel ,Thin wire ,Materials science ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,02 engineering and technology ,Topology ,01 natural sciences ,Merge (version control) ,Lithography ,020202 computer hardware & architecture - Abstract
SADP are one of the important patterning techniques for all the FEOL/BEOL levels in 7 nm technology node and beyond. In BEOL, not only the minimum features, but wide lines are also important for power distribution even in the thin wire levels. However, in the conventional SADP integration, insertion of wide features in the sea-of-wires area cannot be achieved easily. In this paper, various strategies are presented for creating merge features in SADP/SAQP integration. Firstly, using an add on lithography, the mandrel can be selectively merge or remove before the spacer deposition, as a result, merged mandrels or non-mandrels without touching others are created. On the other hand, a spacer cut lithography can be applied after the mandrel pull, then, there is a freedom to cut the spacer between the select features that will merge all the features in the area. Nevertheless, the undesired connections can be cut off by adding one more block-lithography. Therefore, it is possible to create any arbitrary shapes with this technique. The last block-lithography can also be eliminated by introducing pre-defined cuts in the mandrel cut or non-mandrel cut step.
- Published
- 2018
- Full Text
- View/download PDF
9. Future on-chip interconnect metallization and electromigration
- Author
-
Motoyama Koichi, X. Lin, Terry A. Spooner, Praneet Adusumilli, Hosadurga Shobha, Chao-Kun Hu, Lynne Gignac, B. Peethala, Frieder H. Baumann, X. Zhang, James J. Kelly, Frank W. Mont, M. Ali, Vimal Kamineni, Shariq Siddiqui, J. H-C Chen, Huai Huang, Roger A. Quon, Y. Ostrovski, Raghuveer R. Patlolla, C. M. Breslin, G. Lian, J. Benedict, and S. Smith
- Subjects
010302 applied physics ,Interconnection ,Materials science ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electromigration ,Electrical resistivity and conductivity ,0103 physical sciences ,Optoelectronics ,Wafer ,Node (circuits) ,0210 nano-technology ,business - Abstract
Electromigration and resistivity of Cu, Co and Ru on-chip interconnections have been investigated. Non-linered Co and Ru interconnects can have better interconnect resistance than Cu, if the Cu liner cannot be scaled down below 2 nm in future interconnect technologies. A similar resistivity size effect increase was observed in Cu, Co, and Ru. Multi-level Cu, Co or Ru back-end-of-line interconnects were fabricated using 7 and 10 nm node technology wafer processing steps. EM in 18 nm to 88 nm wide Co lines, 18–24 nm wide Cu with a thin Co cap and 18 to 24 nm wide Ru lines were tested. The electromigration activation energies for Cu with Co cap, Co and Ru were found to be 1.5–1.7 eV, 2.4–3.1 eV and 1.9 eV, respectively. These data showed that Cu with Co cap, Co and Ru interconnects all had highly reliable electromigration.
- Published
- 2018
- Full Text
- View/download PDF
10. Comparison of key fine-line BEOL metallization schemes for beyond 7 nm node
- Author
-
X. Zhang, J. Maniscalco, James Chingwei Li, B. Peethala, Son Nguyen, Han You, Nicholas A. Lanzillo, G. Lian, Vamsi Paruchuri, Takeshi Nogami, Hosadurga Shobha, X. Lin, Scott DeVries, Benjamin D. Briggs, Terry A. Spooner, Raghuveer R. Patlolla, Terence Kane, Daniel C. Edelstein, Huai Huang, James J. Kelly, Theodorus E. Standaert, C.-C. Yang, Jae Gon Lee, Motoyama Koichi, Prasad Bhosale, Donald F. Canaperi, S. Lian, P. McLaughlin, James J. Demarest, Devika Sil, and Alfred Grill
- Subjects
010302 applied physics ,Materials science ,Scattering ,business.industry ,02 engineering and technology ,Conductivity ,Fine line ,021001 nanoscience & nanotechnology ,01 natural sciences ,Line resistance ,Laser linewidth ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business ,Line (formation) - Abstract
For beyond 7 nm node BEOL, line resistance (R) is assessed among four metallization schemes: Ru; Co; Cu with TaN/Ru barrier, and Cu with through-cobalt self-forming barrier (tCoSFB) [1]. Line-R vs. linewidth of Cu fine wires with TaN/Ru barrier crosses over with barrier-less Ru and Co wires for beyond-7 nm node dimensions, whereas Cu with tCoSFB remains competitive, with the lowest line R for 7 nm and beyond. Our study suggests promise of this last scheme to meet requirements in line R and EM reliability.
- Published
- 2017
- Full Text
- View/download PDF
11. Methods to lower the resistivity of ruthenium interconnects at 7 nm node and beyond
- Author
-
C. Labelle, Mark Raymond, X. Lin, Griselda Bonilla, Raghuveer R. Patlolla, Xunyuan Zhang, E. Todd Ryan, Theodore E. Standaert, Daniel C. Edelstein, Frank W. Mont, Huai Huang, Terry A. Spooner, and Donald F. Canaperi
- Subjects
010302 applied physics ,Materials science ,Scattering ,Copper interconnect ,chemistry.chemical_element ,02 engineering and technology ,Adhesion ,Conductivity ,021001 nanoscience & nanotechnology ,01 natural sciences ,Ruthenium ,chemistry ,Electrical resistivity and conductivity ,0103 physical sciences ,Electronic engineering ,Composite material ,0210 nano-technology ,Tin ,Temperature coefficient - Abstract
36 nm pitch dual damascene interconnects are patterned and filled with ruthenium. Different adhesion layers are used to form the Ru interconnects. Ru line resistivity is measured by the temperature coefficient of resistivity method, and the area verified by TEM. Ru line resistivity is found to depend on the adhesion layer. The adhesion layers with higher intrinsic resistivities reduced the Ru line resistivity. A ∼10% Ru resistivity reduction can be achieved with ALD TaN or TiN adhesion layers or oxidized TaN, relative to PVD TaN. Grain boundary scattering may play an additional role, as demonstrated by different aspect ratio samples. The lowest Ru resistivity in these interconnects is 15 µΩ-cm, at a cross-sectional area of 300 nm2. Ru damascene metallization is extendible to features with critical dimension around 10 nm. Ru may match Cu line resistance for line dimensions below ∼17 nm.
- Published
- 2017
- Full Text
- View/download PDF
12. Segment removal strategy in SAQP for advanced BEOL application
- Author
-
James Hsueh-Chung Chen, Terry A. Spooner, Martin O'Toole, Jason Eugene Stephens, Shreesh Narasimha, Ben Kim, Licausi Nicholas, and Craig Child
- Subjects
010302 applied physics ,Interconnection ,Materials science ,02 engineering and technology ,01 natural sciences ,Capacitance ,020202 computer hardware & architecture ,Parasitic capacitance ,0103 physical sciences ,Process integration ,Line (geometry) ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Multiple patterning ,Lithography ,Block (data storage) - Abstract
In this paper, a strategy of performing segment removal in an SAQP (self-aligned quadruple patterning) and its implication on interconnect parasitic capacitance are reported. In order to reduce the cost and process complexity, through process emulations, this study specifically focuses on not introducing additional lithography step(s) or material to the conventional SADP (self-aligned double patterning) integration. Four SAQP process integrations are demonstrated to selectively remove dummy lines in between the signal lines from sea of lines, as a result, the line to line capacitance can be reduced. The conventional non-mandrel block lithography step will only remove every other line. Typically, to remove more lines requires an additional hard mask layer and a first non-mandrel block lithography step where the line to line capacitance can be further reduced. However, in this study, a double spacer transfer scheme is proposed to achieve the same final structure but without the additional hard mask layer and lithography step. Therefore, this could be another option for 7 nm or 5 nm process integration of BEOL interconnects.
- Published
- 2017
- Full Text
- View/download PDF
13. Electromigration and resistivity in on-chip Cu, Co and Ru damascene nanowires
- Author
-
G. Hornicek, X. Zhang, Frank W. Mont, Raghuveer R. Patlolla, G. Lian, Huai Huang, Chao-Kun Hu, Terry A. Spooner, Chris Breslin, R. Long, Lynne Gignac, Shariq Siddiqui, B. Peethala, Stephan A. Cohen, Terence Kane, M. Ali, Vimal Kamineni, Y. Ostrovski, James J. Kelly, Praneet Adusumilli, J. H-C Chen, and John Bruley
- Subjects
010302 applied physics ,Interconnection ,Materials science ,Analytical chemistry ,Nanowire ,Copper interconnect ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electromigration ,Electrical resistivity and conductivity ,0103 physical sciences ,Electronic engineering ,Wafer ,0210 nano-technology - Abstract
Electromigration and resistivity of Cu, Co and Ru on-chip interconnection have been investigated. A similar resistivity size effect increase was observed in Cu, Co, and Ru. The effect of liners and cap, e.g. Ta, Co, Ru and SiC x N y H z , on Cu/interface resistivity was not found to be significant. Multilevel Cu, Co or Ru back-end-of-line interconnects were fabricated using 10 nm node technology wafer processing steps. EM in 22 nm to 88 nm wide Co lines, 24 nm wide Cu with and without a thin Co cap and 24 nm wide Ru lines were tested. These data showed that Cu with a Co cap, Co and Ru had highly reliable EM, although Ru was better than Co and Co was better Cu. The electromigration activation energies for Cu with Co cap and Co were found to be 1.5–1.6 eV and 2.1–2.7 eV, respectively.
- Published
- 2017
- Full Text
- View/download PDF
14. Microstructure modulation for resistance reduction in copper interconnects
- Author
-
M. Ali, Terry A. Spooner, G. Lian, Roger A. Quon, Chao-Kun Hu, P. McLaughlin, Huai Huang, C.-C. Yang, Yann Mignot, Daniel C. Edelstein, and Theodorus E. Standaert
- Subjects
010302 applied physics ,Materials science ,Annealing (metallurgy) ,Metallurgy ,chemistry.chemical_element ,Dielectric ,Conductivity ,Microstructure ,01 natural sciences ,Electromigration ,Copper ,chemistry ,Electrical resistivity and conductivity ,0103 physical sciences ,Grain boundary ,Composite material - Abstract
Microstructure variation with post-patterning dielectric aspect ratio (AR) and post-plating annealing temperature has been investigated in Cu narrow wires. As compared to the conventional annealing at 100 ◦C for a feature AR of 2.6, both elevated temperature anneals and reduced AR structures modulated Cu microstructure, which then resulted in a reduced rate of electrical resistivity increase with area scaling and an increased electromigration resistance in the Cu narrow wires.
- Published
- 2017
- Full Text
- View/download PDF
15. Planarity considerations in SADP for advanced BEOL patterning
- Author
-
Martin O'Toole, James Hsueh-Chung Chen, Shreesh Narasimha, Jason Eugene Stephens, Shao Beng Law, Genevieve Beique, Ben Kim, Craig Child, E. Todd Ryan, Steven Leibiger, Louis J. Lanzerotti, and Terry A. Spooner
- Subjects
010302 applied physics ,Materials science ,business.industry ,Optical engineering ,Pillar ,Nanotechnology ,01 natural sciences ,Aspect ratio (image) ,Planarity testing ,010309 optics ,Chemical-mechanical planarization ,0103 physical sciences ,Multiple patterning ,Optoelectronics ,business ,Layer (electronics) - Abstract
In this paper, the impact of gap-fill planarity on Multi-Self-Aligned Block, SADP (self-aligned double patterning) process for advanced optical technology nodes (7 nm/5 nm) interconnects was studied through process emulations. This study specifically focuses on the insertion of an etch stop layer (ESL) between two coatings of organic planarization layer (OPL), referred to as the tri-layer PM (pattern mask), which enables a thinner OPL for pattern transfer while adding topography correction for non-mandrel block patterning processes. This scheme reduces pillar aspect ratio for improved CD control and flop-over mitigation, as well as topography correction to mitigation false metal patterns in field regions. However, ESL could cause CD variation if it was deposited on the sidewall of spacer where it is a function of the conformality of ESL deposition.
- Published
- 2017
- Full Text
- View/download PDF
16. 56 nm pitch Cu dual-damascene interconnects with self-aligned via using negative-tone development Lithography-Etch-Lithography-Etch patterning scheme
- Author
-
Christopher J. Waskiewicz, Hideyuki Tomizawa, Muthumanickam Sankarapandian, Mignot Yann, Marcy Beard, Chiahsun Tseng, Yannick Loquet, Terry A. Spooner, Philip L. Flaitz, Eric G. Liniger, Shyng-Tsong Chen, James Hsueh-Chung Chen, Bryan Morris, and Walter Kleemeier
- Subjects
Materials science ,business.industry ,Copper interconnect ,Nanotechnology ,Condensed Matter Physics ,Capacitance ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Multiple patterning ,Optoelectronics ,Undercut ,Process window ,Process optimization ,Electrical and Electronic Engineering ,business ,Lithography ,Immersion lithography - Abstract
In the attempts to push the resolution limits of 193nm immersion lithography, this work demonstrates the building of 3 metal level 56nm pitch copper dual-damascene interconnects, using Negative-Tone Development Lithography-Etch-Lithography-Etch (LELE) Patterning at line level. Line Resistance and intra-level capacitance can be affected by the double patterning integration, but a good process window has been demonstrated, showing no impact on RC performance. The introduction of a self-aligned via (SAV) process with a TiN hard-mask is able to provide a robust process window in terms of via-metal short yield at line and via level. SAV implementation at these dimensions also affects the aspect-ratio of the structures and leads to new challenges in metallization: optimized profile, without bowing or undercut, is mandatory to enable the filling of 28nm lines. The metal hard-mask has to be removed or at least faceted by erosion. This can be achieved by conventional RIE process optimization, but pushes the RIE selectivity to challenging limits. Physical dimensions on target and via chain yield have been demonstrated by fine tuning RIE process. Profile improvement can also be achieved by the introduction of new WET process, helping the removal of the metal hard-mask while being neutral to the ULK. We have demonstrated good yield and reliability with an integration using hard-mask wet removal.
- Published
- 2013
- Full Text
- View/download PDF
17. Mechanism of Co Liner as Enhancement Layer for Cu Interconnect Gap-Fill
- Author
-
X. Zhang, Takeshi Nogami, Terry A. Spooner, X. Lin, Daniel C. Edelstein, L. Zhao, James J. Kelly, Hoon Kim, and Ming He
- Subjects
Interconnection ,Materials science ,Renewable Energy, Sustainability and the Environment ,business.industry ,Materials Chemistry ,Electrochemistry ,Optoelectronics ,Condensed Matter Physics ,business ,Layer (electronics) ,Mechanism (sociology) ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Published
- 2013
- Full Text
- View/download PDF
18. Post porosity plasma protection integration at 48 nm pitch
- Author
-
Terry A. Spooner, Joe Lee, Alfred Grill, Huai Huang, Eric G. Liniger, B. Peethala, Willi Volksen, Hosadurga Shobha, Krystelle Lionti, Chao-Kun Hu, Griselda Bonilla, Theodorus E. Standaert, Donald F. Canaperi, Elbert E. Huang, Geraud Dubois, Teddie Magbitang, James Hsueh-Chung Chen, and Daniel C. Edelstein
- Subjects
Materials science ,business.industry ,Copper interconnect ,02 engineering and technology ,Plasma ,Dielectric ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,0104 chemical sciences ,Plasma-enhanced chemical vapor deposition ,Node (physics) ,Multiple patterning ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,Porosity ,business - Abstract
Integration of high porosity low-k dielectrics faces major challenges as the porosity weakens the dielectric, resulting in severe plasma induced damage (PID) and difficulties in profile control. Post porosity plasma protection (P4) integration strategy addresses those challenges by strengthening the dielectric via porosity refill during the integration steps. Realization of P4 integration at an advanced node is nontrivial. In this paper, we demonstrate the feasibility of the P4 integration scheme in a dual damascene double patterning 48 nm pitch test vehicle with a plasma enhanced chemical vapor deposited (PECVD) k = 2.4 inter-layer dielectric (ILD). In addition, initial results of applying P4 with a PECVD k = 2.2 ILD show promise in reducing capacitance at 48 nm pitch and beyond.
- Published
- 2016
- Full Text
- View/download PDF
19. Ruthenium interconnect resistivity and reliability at 48 nm pitch
- Author
-
Donald F. Canaperi, Raghuveer R. Patlolla, Daniel C. Edelstein, Griselda Bonilla, Huai Huang, Wei Wang, E. Todd Ryan, Paul S. McLaughlin, Xunyuan Zhang, Juntao Li, Terry A. Spooner, Eric G. Liniger, Frank W. Mont, Chao-Kun Hu, and C. Labelle
- Subjects
010302 applied physics ,Materials science ,Dielectric strength ,business.industry ,Electrical engineering ,Copper interconnect ,chemistry.chemical_element ,Time-dependent gate oxide breakdown ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electromigration ,Ruthenium ,Laser linewidth ,chemistry ,Electrical resistivity and conductivity ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Temperature coefficient - Abstract
48 nm pitch dual damascene interconnects are patterned and filled with ruthenium. Ru interconnect has comparable high yield for line and via macros. Electrical results show minimal impact for via resistance and around 2 times higher line resistance. Resistivity and cross section area of Ru interconnects are measured by temperature coefficient of resistivity method and the area was verified by TEM. Reliability results show non-failure in electromigration and longer time dependent dielectric breakdown. Based on the data collected, Ru could be a metallization contender at linewidth of 16 nm and below.
- Published
- 2016
- Full Text
- View/download PDF
20. Experimental study of nanoscale Co damascene BEOL interconnect structures
- Author
-
Takeshi Nogami, Praneet Adusumilli, Hosadurga Shobha, Frank W. Mont, Vimal Kamineni, Donald F. Canaperi, Elbert E. Huang, Chenming Hu, Huai Huang, James J. Kelly, B. Peethala, Terry A. Spooner, Chen Jia, Eric G. Liniger, Shariq Siddiqui, Daniel C. Edelstein, and Raghuveer R. Patlolla
- Subjects
010302 applied physics ,Interconnection ,Resistive barrier ,Materials science ,business.industry ,Copper interconnect ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Barrier layer ,Metal ,visual_art ,0103 physical sciences ,Electronic engineering ,visual_art.visual_art_medium ,Optoelectronics ,0210 nano-technology ,business ,Nanoscopic scale ,Leakage (electronics) - Abstract
We characterize integrated dual damascene Co and Cu BEOL lines and vias, at 10 nm node dimensions. The Co to Cu line resistance ratios for 24 nm and 220 nm wide lines were 2.1 and 3.5, respectively. The Co via resistance was just 1.7 times that of Cu, with the smaller ratio attributed to the barrier layer series via resistance. Electrical continuity of Co via chain structures was good, while some chain-chain shorts and leakage suggests metal residuals from the Co polish process. The Co lines and vias, fabricated using conventional BEOL processes, exhibit good Co fill by TEM, with no visible evidence of Co in the dielectric. The relatively smaller resistance increase for Co vias suggests a potential via resistance benefit, a thinner or less resistive barrier can be employed. Co line resistance will likely not be competitive with Cu until after the next technology node.
- Published
- 2016
- Full Text
- View/download PDF
21. Pre-liner dielectric nitridation for resistance reduction in copper interconnects
- Author
-
Daniel C. Edelstein, Wei Wang, Roger A. Quon, Terry A. Spooner, C.-C. Yang, Eric G. Liniger, Chenming Hu, Theodorus E. Standaert, J. Maniscalco, P. McLaughlin, Donald F. Canaperi, and Elbert E. Huang
- Subjects
010302 applied physics ,Interconnection ,Materials science ,chemistry.chemical_element ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Copper ,Reliability (semiconductor) ,Stack (abstract data type) ,chemistry ,0103 physical sciences ,Electronic engineering ,Composite material ,0210 nano-technology ,Reduction (mathematics) ,Deposition (law) - Abstract
Adhesion tests, parametric measurements, and reliability evaluations of an in-situ pre-liner dielectric nitridation process prior to pure Ta liner deposition were carried out, to evaluate the feasibility of reducing via resistance in BEOL Cu/low-k interconnects. Replacing TaN/Ta with Ta in the conventional liner stack reduces Cu via resistance, while the nitridation treatment maintains Cu interconnect integrity and reliability.
- Published
- 2016
- Full Text
- View/download PDF
22. BEOL process integration for the 7 nm technology node
- Author
-
Deepika Priyadarshini, Raghuveer R. Patlolla, Bassem Hamieh, O. van der Straten, Son Nguyen, Motoyama Koichi, B. Peethala, Nicole Saulnier, Vamsi Paruchuri, X. Zhang, Shariq Siddiqui, Frank W. Mont, Griselda Bonilla, Theodorus E. Standaert, Yongan Xu, Hao Tang, P. McLaughlin, J. McMahon, Donald F. Canaperi, Yann Mignot, Daniel C. Edelstein, John C. Arnold, Genevieve Beique, Terry A. Spooner, Michael Rizzolo, Hosadurga Shobha, Chen Hsueh-Chung, Matthew E. Colburn, Shyng-Tsong Chen, Jia Lee, and Erik Verduijn
- Subjects
010302 applied physics ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,law ,0103 physical sciences ,Process integration ,Multiple patterning ,Optoelectronics ,Node (circuits) ,X-ray lithography ,Photolithography ,0210 nano-technology ,business ,Next-generation lithography ,Immersion lithography - Abstract
A 36 nm pitch BEOL has been evaluated for the 7 nm technology node. EUV lithography was employed as a single-exposure patterning solution. For the first time, it is shown that excellent reliability results can be obtained for Cu interconnects at these small dimensions, by using a TaN/Ru barrier system and a selective Co cap.
- Published
- 2016
- Full Text
- View/download PDF
23. Ultrathin conformal multilayer SiNO dielectric cap for capacitance reduction in Cu/low k interconnects
- Author
-
Chenming Hu, E. Adams, J. Burnham, Deepika Priyadarshini, Donald F. Canaperi, Daniel C. Edelstein, Hosadurga Shobha, C. Parks, Timothy M. Shaw, Son Nguyen, D. Collins, Stephan A. Cohen, Vamsi Paruchuri, Terry A. Spooner, Alfred Grill, and Eric G. Liniger
- Subjects
010302 applied physics ,Materials science ,Dielectric strength ,business.industry ,Bilayer ,Conformal map ,Time-dependent gate oxide breakdown ,Low leakage ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,Reduction (complexity) ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business - Abstract
Multi-layer SiNO barrier film with high breakdown and low leakage is developed for Cu low k interconnects and is compared with the SiCN and SiN barrier films used at previous technology nodes. Combining SiCN with multi-layer SiNO barrier film provides robust Cu and O barrier properties at film thickness of ∼10–14 nm. SiNO layers in the bi-layer film help lower the dielectric constant and hence provide capacitance benefit in the integrated structures. The high breakdown SiNO layers provide benefit in the via chamfer region and improves inter-level time dependent dielectric breakdown (TDDB). Overall, the SiCN/SiNO bilayer dielectric film shows robust device reliability as compared to the state of the art barrier films.
- Published
- 2016
- Full Text
- View/download PDF
24. Geometry impact on the reduction of Cu interconnect wire resistance
- Author
-
Terry A. Spooner, Xunyuan Zhang, Wei Wang, and Chih-Chao Yang
- Subjects
010302 applied physics ,Interconnection ,Materials science ,020209 energy ,Geometry ,02 engineering and technology ,01 natural sciences ,Line width ,On resistance ,Line resistance ,Reduction (complexity) ,0103 physical sciences ,Line (geometry) ,0202 electrical engineering, electronic engineering, information engineering ,Wire resistance - Abstract
The Cu line resistance has been observed to reduce after processing through higher interconnect levels. In this paper, the geometry impact on the reduction of Cu interconnect wire resistance was studied on a large data set using data mining techniques. The data show that the narrower and higher the Cu lines, the larger the line resistance reduction and that Cu line height has much stronger impact on resistance reduction than line width.
- Published
- 2016
- Full Text
- View/download PDF
25. Effective Cu surface pre-treatment for high-reliable 22nm-node Cu dual damascene interconnects with high plasma resistant ultra low-k dielectric (k=2.2)
- Author
-
Stephan A. Cohen, Y. Ostrovski, T. Pinto, Chenming Hu, Takeshi Nogami, J. Femiak, Terry A. Spooner, Masayoshi Tagami, Anita Madan, Errol Todd Ryan, Hosadurga Shobha, Fuminori Ito, K. Maloney, J. Protzman, and Steven E. Molis
- Subjects
Materials science ,Metallurgy ,Copper interconnect ,Analytical chemistry ,chemistry.chemical_element ,Low-k dielectric ,Plasma ,Dielectric ,Blanket ,Condensed Matter Physics ,Oxygen ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Chemical-mechanical planarization ,Electrical and Electronic Engineering ,Deposition (law) - Abstract
Effects of Cu surface treatment (NH"3 plasma irradiation) before the cap dielectric deposition on low-k surface damage and Cu surface cleaning were systematically investigated. From the blanket film surface damage evaluations of porous low-k film with high carbon content and the oxygen removal on blanket Cu film after chemical mechanical polishing (CMP), the optimized NH"3 plasma condition such as high RF power and high pressure exhibited the high efficiency for oxygen removal from the Cu surface without increasing the k-value of low-k film. The low-k/Cu interconnect (line/space=40/40nm) for 22nm-node with the high plasma resistant low-k film and the optimized Cu surface treatment showed longer electro-migration lifetime without large degradation of RC performance.
- Published
- 2012
- Full Text
- View/download PDF
26. Synergistic combinations of dielectrics and metallization process technology to achieve 22nm interconnect performance targets
- Author
-
G. A. Antonelli, O. Vander Straten, K. J. Park, Hosadurga Shobha, G. Jiang, Masayoshi Tagami, Frieder H. Baumann, W. Wu, I. Karim, T. Mountsier, Terry A. Spooner, Eric G. Liniger, Girish Dixit, Stephan A. Cohen, James J. Demarest, E. Soda, and Roey Shaviv
- Subjects
Interconnection ,Materials science ,business.industry ,Time constant ,Nanotechnology ,Dielectric ,Condensed Matter Physics ,Capacitance ,Aspect ratio (image) ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Process integration ,Optoelectronics ,Electrical and Electronic Engineering ,Material properties ,business ,Scaling - Abstract
An improvement in interconnect performance implies a reduction of the resistance-capacitance (RC) time constant. Instead of scaling the capacitance at each technology node through a reduction in the dielectric constant of the interlayer dielectric (ILD), the interconnect aspect ratios could be scaled holding the ILD fixed. In this case, the material properties of the ILD must be robust to process-induced damage and amenable to the creation of high aspect ratio features. In addition, a metallization scheme that can provide void free Cu fill in high aspect ratio features is required. Characterization, patterning, and integration results collected on such an ultra-low-k (ULK) ILD material and void free metallization is presented. A measured reduction in the resistance of a 22nm node interconnect in this ILD was observed as a function of increasing aspect ratio. The copper seed deposition process, capable of enabling the fill of even higher aspect ratio features, is also discussed.
- Published
- 2012
- Full Text
- View/download PDF
27. Moisture Uptake Impact on Damage Layer of Porous Low-k Film in 80nm-Pitched Cu Interconnects
- Author
-
Frieder H. Baumann, Masayoshi Tagami, Atsushi Ogino, Hideshi Miyajima, Hosadurga Shobha, Terry A. Spooner, and Fuminori Ito
- Subjects
Interconnection ,Materials science ,Moisture ,chemistry ,chemistry.chemical_element ,Dielectric ,Composite material ,Porosity ,Capacitance ,Layer (electronics) ,Carbon ,Line (electrical engineering) - Abstract
Introduction A porous low-k film has been introduced to reduce the interconnect capacitance as the LSI generation progress [1-2]. Since the porous low-k film has larger porosity and higher carbon content than conventional rigid films, its surface is easily damaged by processes during integration. The damage layer has lower carbon concentration and hydrophilic properties, so the moisture uptakes in it [3]. The moisture uptake into porous low-k film causes the line capacitance increase [4]. In this work, we investigated the moisture uptake impact on line capacitance and leakage current in the triple layer interconnect structure. We also estimated dielectric constant of damage layer with including moisture by the capacitance simulation. The moisture control in the damage layer in porous low-k film is very important to reduce the line capacitance and improve the line leakage current as well as damage layer reduction.
- Published
- 2011
- Full Text
- View/download PDF
28. Electron scattering at interfaces in nano-scale vertical interconnects: A combined experimental and ab initio study
- Author
-
Chih-Chao Yang, Oscar D. Restrepo, Griselda Bonilla, Eduardo Cruz-Silva, Byoung Youp Kim, Nicholas A. Lanzillo, Craig Child, Terry A. Spooner, Theodorus E. Standaert, Prasad Bhosale, and Kota V. R. M. Murali
- Subjects
010302 applied physics ,Materials science ,Physics and Astronomy (miscellaneous) ,Fermi level ,Ab initio ,02 engineering and technology ,Electronic structure ,021001 nanoscience & nanotechnology ,01 natural sciences ,Molecular physics ,symbols.namesake ,0103 physical sciences ,symbols ,Density of states ,Wetting ,0210 nano-technology ,Electron scattering ,Nanoscopic scale ,Wetting layer - Abstract
We present a combined theoretical and experimental study on the electron transport characteristics across several representative interface structures found in back-end-of-line interconnect stacks for advanced semiconductor manufacturing: Cu/Ta(N)/Co/Cu and Cu/Ta(N)/Ru/Cu. In particular, we evaluate the impact of replacing a thin TaN barrier with Ta while considering both Co and Ru as wetting layers. Both theory and experiment indicate a pronounced reduction in vertical resistance when replacing TaN with Ta, regardless of whether a Co or Ru wetting layer is used. This indicates that a significant portion of the total vertical resistance is determined by electron scattering at the Cu/Ta(N) interface. The electronic structure of these nano-sized interconnects is analyzed in terms of the atom-resolved projected density of states and k-resolved transmission spectra at the Fermi level. This work further develops a fundamental understanding of electron transport and material characteristics in nano-sized interconnects.
- Published
- 2018
- Full Text
- View/download PDF
29. The Effect of Material and Process Interactions on BEOL Integration
- Author
-
Pak K. Leung, James Hsueh-Chung Chen, Muthumanickam Sankarapandian, Hosadurga Shobha, John C. Arnold, Satyavolu S. Papa Rao, Donald F. Canaperi, Stephen M. Gates, O. van der Straten, Atsunobu Isobayashi, Shyng-Tsong Chen, and Terry A. Spooner
- Subjects
Materials science ,Process (engineering) ,business.industry ,Process engineering ,business - Abstract
In agreement with the ITRS roadmap, there have been several publications supporting the reduction in critical dimensions and the introduction of new materials to semiconductor processing (1,2,3). This paper highlights the observations and solutions to some of the critical material and process interactions encountered during the integration of the back end of line interconnect.
- Published
- 2009
- Full Text
- View/download PDF
30. Through-Cobalt Self Forming Barrier (tCoSFB) for Cu/ULK BEOL: A novel concept for advanced technology nodes
- Author
-
Vamsi Paruchuri, Juntao Li, Takeshi Nogami, Daniel C. Edelstein, Terry A. Spooner, Stephan A. Cohen, Theodorus E. Standaert, Moosung M. Chae, James J. Kelly, Terence Kane, Donald F. Canaperi, Elbert E. Huang, Benjamin D. Briggs, Raghuveer R. Patlolla, Deepika Priyadarshini, Sevim Korkmaz, Paul S. McLaughlin, Christopher Parks, Christopher J. Penny, Anita Madan, Xunyuan Zhang, Hosadurga Shobha, Wei Wang, Son Nguyen, and Thomas M. Shaw
- Subjects
Self forming ,Atomic layer deposition ,Materials science ,chemistry ,business.industry ,Optoelectronics ,chemistry.chemical_element ,Nanotechnology ,Node (circuits) ,business ,Cobalt ,Wetting layer - Abstract
Through-Co self-forming-barrier (tCoSFB) metallization scheme is introduced, with Cu gap-fill capability down to 7 nm-node dimensions. Mn atoms from doped-seedlayer diffuse through CVD-Co wetting layer, to form TaMn O barrier, with integrity proven by vertical-trench triangular-voltage-sweep and barrier-oxidation tests. tCoSFB scheme enables 32% and 45% lower line and via resistance, respectively at 10 nm node dimensions, while achieving superior EM performance to competitive TaN/Co and TaN/Ru-based barriers.
- Published
- 2015
- Full Text
- View/download PDF
31. Competitive and cost effective copper/low-k interconnect (BEOL) for 28nm CMOS technologies
- Author
-
H. Oguma, T. Bolom, Y. Oda, S. O. Kim, C. Child, S. Allen, G. Bonilla, R. Schiwon, B. Kim, G. Osborne, B. Sundlof, T. Takewaki, E. Kaltalioglu, A. Grill, Q. Fang, D. Edelstein, H. Aizawa, T. Oki, B. Engel, A. Thomas, G. Ribes, S. Hirooka, G. Biery, K. Fujii, S. Molis, H. Sheng, R. Augur, M. Pallachalil, H. Shobha, D. Restaino, H. Masuda, J. H. Ahn, D. Kioussis, Terry A. Spooner, G. Zhang, L. Clevenger, Chao-Kun Hu, R. Quon, Stephen M. Gates, A. Simon, B. Hamieh, Paulo Ferreira, S. M. Singh, E. T. Ryan, R. Sampson, T. Fryxell, A. Ogino, H. Minda, B. Sapp, Richa Gupta, C. Labelle, T. Nogami, E. Wornyo, E. Shimada, T. Daubenspeck, T. J. Tang, T. Shaw, D. Permana, and R. Srivastava
- Subjects
Interconnection ,Materials science ,business.industry ,chemistry.chemical_element ,Interconnect technology ,Hardware_PERFORMANCEANDRELIABILITY ,Dielectric ,Condensed Matter Physics ,Copper ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Power (physics) ,Reliability (semiconductor) ,CMOS ,chemistry ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Node (circuits) ,Electrical and Electronic Engineering ,business - Abstract
A cost effective 28nm CMOS Interconnect technology is presented for 28nm node high performance and low power applications. Full entitlement of ultra low-k (ULK) inter-level dielectric is enabled. Copper wiring levels can be combined up to a total of 11 levels. The inter-level dielectric was optimized for low k-value and high strength. The feature profiles were optimized to enable defect-free metallization using conventional tools and processes. High yields and robust reliability were demonstrated.
- Published
- 2012
- Full Text
- View/download PDF
32. 10nm FINFET technology for low power and high performance applications
- Author
-
Philip J. Oldiges, Hemanth Jagannathan, Kangguo Cheng, Christopher Prindle, C.-C. Yeh, R. Divakaruni, S. Kanakasabaphthy, Derrick Liu, Sean D. Burns, P. Montanini, T. Gow, Huiming Bu, Abhijeet Paul, Terry A. Spooner, Richard G. Southwick, Jin Cho, M. Celik, Mukesh Khare, Donald F. Canaperi, Young-Kwan Park, H. Mallela, Ravikumar Ramachandran, Bomsoo Kim, Dinesh Gupta, Balasubramanian S. Pranatharthi Haran, R. Kambhampati, M. Weybright, W. Yang, Vamsi Paruchuri, Tae-Chan Kim, R. Sampson, K. Kim, D. Chanemougame, John Iacoponi, Jay W. Strane, Ruilong Xie, D.I. Bae, Injo Ok, Matthew E. Colburn, T. Hook, Kang-ill Seo, Lars W. Liebmann, V. Sardesai, Hoon Kim, Neeraj Tripathi, H. Shang, M. Mottura, Reinaldo A. Vega, B. Hamieh, D. McHerron, Theodorus E. Standaert, Ju-Hwan Jung, S. Nam, E. Alptekin, Soon-Cheon Seo, Dechao Guo, J. G. Hong, Gen Tsutsui, Andreas Scholze, J. Jenq, Xiao Sun, Walter Kleemeier, James H. Stathis, and Geum-Jong Bae
- Subjects
Materials science ,CMOS ,Dopant ,business.industry ,Electronic engineering ,Optoelectronics ,Silicon on insulator ,Static random-access memory ,business ,Lithography ,Random dopant fluctuation ,Communication channel ,Power (physics) - Abstract
In this paper, we present a 10nm CMOS platform technology for low power and high performance applications with the tightest contacted poly pitch (CPP) of 64nm and metallization pitch of 48nm ever reported in the FinFET technology on both bulk and SOI substrates. A 0.053um2 SRAM bit-cell is reported with a corresponding Static Noise Margin (SNM) of 140mV at 0.75V. Intensive multi-patterning technology and various self-aligned processes have been developed with 193i lithography to overcome optical patterning limits. Multi-workfunction (MWF) gate stack has been enabled to provide Vt tunability without the variability degradation induced by Random Dopant Fluctuation (RDF) from channel dopants.
- Published
- 2014
- Full Text
- View/download PDF
33. A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI
- Author
-
Jeffrey C. Shearer, Philip J. Oldiges, Soon-Cheon Seo, Terry A. Spooner, Matthew E. Colburn, Ravikumar Ramachandran, V. Sardesai, Kang-ill Seo, Dinesh Gupta, Richard G. Southwick, Xiao Sun, S. Stieg, H. Cai, S. Kanakasabaphthy, Vamsi Paruchuri, R. Sampson, Lars W. Liebmann, Walter Kleemeier, Kisik Choi, Deok-Hyung Lee, Christopher Prindle, R. Divakaruni, H. Shang, Abhijeet Paul, T. Gow, D. McHerron, Dechao Guo, Fee Li Lie, J. Nam, Neeraj Tripathi, Ruilong Xie, R. Kambhampati, Muthumanickam Sankarapandian, Balasubramanian S. Pranatharthi Haran, Carol Boye, James H. Stathis, B. Hamieh, John Iacoponi, Christopher J. Waskiewicz, Geum-Jong Bae, Derrick Liu, Sanjay Mehta, Reinaldo A. Vega, Terence B. Hook, Min Gyu Sung, Jay W. Strane, D.I. Bae, Robin Chao, Hoon Kim, F. Nelson, Theodorus E. Standaert, L. Jang, Erin Mclellan, M. Celik, S. Nam, Tae-Chan Kim, C.-C. Yeh, Sean D. Burns, P. Montanini, Charan V. V. S. Surisetty, Raghavasimhan Sreenivasan, Ju-Hwan Jung, B. Lherron, S.-B. Ko, E. Alptekin, Huiming Bu, Injo Ok, Jin Cho, Mukesh Khare, J. G. Hong, Gen Tsutsui, Andreas Scholze, Bomsoo Kim, D. Chanemougame, M. Mottura, M. Weybright, H. Mallela, K. Kim, Hemanth Jagannathan, Chanro Park, J. Jenq, Donald F. Canaperi, Young-Kwan Park, R. Jung, and Kangguo Cheng
- Subjects
Materials science ,Dopant ,business.industry ,Limit (music) ,Gate stack ,Electrical engineering ,Silicon on insulator ,Optoelectronics ,Static random-access memory ,business ,Lithography ,Communication channel ,Power (physics) - Abstract
A 10nm logic platform technology is presented for low power and high performance application with the tightest contacted poly pitch (CPP) of 64nm and metallization pitch of 48nm ever reported in the FinFET technology on both bulk and SOI substrate. A 0.053um 2 SRAM bit-cell is reported with a corresponding Static Noise Margin (SNM) of 140mV at 0.75V. Intensive multi-patterning technology and various self-aligned processes have been developed with 193i lithography to overcome optical patterning limit. Multi-workfunction (WF) gate stack has been enabled to provide Vt tunability without the variability degradation induced by channel dopants.
- Published
- 2014
- Full Text
- View/download PDF
34. Performance of ultrathin alternative diffusion barrier metals for next - Generation BEOL technologies, and their effects on reliability
- Author
-
James J. Kelly, Terry A. Spooner, C.-K. Hu, X. Zhang, Motoyama Koichi, A. Simon, Raghuveer R. Patlolla, Oscar van der Straten, Hosadurga Shobha, Ming He, James Chingwei Li, Timothy M. Shaw, Kunaljeet Tanwar, Daniel C. Edelstein, Takeshi Nogami, Stephan A. Cohen, Moosung M. Chae, Elbert E. Huang, X. Lin, Griselda Bonilla, S. H. Chen, and Christopher J. Penny
- Subjects
Barrier layer ,Materials science ,Reliability (semiconductor) ,Diffusion barrier ,business.industry ,Optoelectronics ,Nanotechnology ,Diffusion (business) ,business ,Electromigration - Abstract
In order to maximize Cu volume and reduce via resistance, barrier thickness reduction is a strong option. Alternative barriers for next-generation BEOL were evaluated in terms of barrier performance to O 2 and Cu diffusion, and effects on reliability. A clear correlation of O 2 barrier performance to electromigration was observed, suggesting that the key role of the barrier layer is to prevent oxidation of Cu or the Cu/barrier interface. Long-throw PVD-TaN showed superior O 2 barrier performance to alternative metals such as PEALD-TaN, thermal ALD-TaN, -TaN(Mn) and - MnN and MnSiO3 self-forming barrier.
- Published
- 2014
- Full Text
- View/download PDF
35. Interconnect performance and scaling strategy at 7 nm node
- Author
-
Vamsi Paruchuri, Theodorus E. Standaert, Terry A. Spooner, Emre Alptekin, and James Hsueh-Chung Chen
- Subjects
Interconnection ,Materials science ,business.industry ,Reliability (computer networking) ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Driver circuit ,Capacitance ,Dimension (vector space) ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Node (circuits) ,business ,Scaling ,Hardware_LOGICDESIGN - Abstract
In this paper, optimization of 1X BEOL wiring level of 7 nm node is presented. We focus on the interconnect delay from 10 nm node to 7 nm node using a single stage driver circuit. The device delay is calculated based on the characteristics of the 10 nm driver circuit. Then the result is compared with a shrunk version of the circuit at the 7 nm dimension. Therefore, the impact of the BEOL on the circuit performance can be determined. The interconnect delay is plotted as a function of wire resistance, via resistance and capacitance. In order to better optimize the BEOL architecture, contour plots of resistance versus capacitance are presented in this paper. The result of this paper is indicating a strong dependency of circuit performance on the wiring length which is a new challenge. Optimization of BEOL architecture therefore requires a new approach which is outlined in this paper. As a result, we would like to bring this to the design community's attention.
- Published
- 2014
- Full Text
- View/download PDF
36. CVD-Co/Cu(Mn) integration and reliability for 10 nm node
- Author
-
X. Zhang, Hosadurga Shobha, C. Parks, Ming He, X. Lin, Donald F. Canaperi, A. Simon, Anita Madan, James Chingwei Li, Raghuveer R. Patlolla, Kunaljeet Tanwar, Daniel C. Edelstein, J. Maniscalco, Oscar van der Straten, Philip L. Flaitz, Mahadevaiyer Krishnan, Christopher J. Penny, James J. Kelly, David L. Rath, Chenming Hu, Terry A. Spooner, Takeshi Nogami, and Tibor Bolom
- Subjects
Void (astronomy) ,Materials science ,Chemical engineering ,Electrical resistivity and conductivity ,Metallurgy ,Alloy ,engineering ,Copper interconnect ,Nucleation ,Chemical vapor deposition ,Wetting ,engineering.material ,Wet chemistry - Abstract
In studying integrated dual damascene hardware at 10 nm node dimensions, we identified the mechanism for Co liner enhancement of Cu gap-fill to be a wetting improvement of the PVD Cu seed, rather than a local nucleation enhancement for Cu plating. We then show that Co “divot” (top-comer slit void defect) formation can be suppressed by a new wet chemistry, in turn eliminating divot-induced EM degradation. Further, we confirm a relative decrease in Cu-alloy seed proportional resistivity impact compared to scattering at scaled dimensions, and finally we address the incompatibility between the commonly-used carbonyl-based CVD-Co process with Cu-alloy seed EM performance This problem is due to oxidation of Ta(N) barriers at the TaN/CVD-Co interface by carbonyl-based CVD processes, which then consumes alloy atoms before they can segregate at the Cu/cap interface. We show that O-free CVD-Co may solve this problem. The above solutions may then enable CVD-Co/Cu-alloy seed integration in advanced nodes.
- Published
- 2013
- Full Text
- View/download PDF
37. 48nm Pitch cu dual-damascene interconnects using self aligned double patterning scheme
- Author
-
Neal Lafferty, Hosadurga Shobha, Wenhui Wang, Terry A. Spooner, Tae-Soo Kim, Matthew E. Colburn, Yann Mignot, Yongan Xu, Yunpeng Yin, Benjamin Duclaux, Shyng-Tsong Chen, Chiew-seng Koay, Marcy Beard, James J. Kelly, Oscar van der Straten, Seowoo Nam, Ming He, and Nicole Saulnier
- Subjects
Scheme (programming language) ,Nanolithography ,Materials science ,Copper interconnect ,Multiple patterning ,Electronic engineering ,Process (computing) ,computer ,Trim ,Dot pitch ,Block (data storage) ,computer.programming_language - Abstract
For sub-64nm pitch interconnects build, it is beneficial to use Self Aligned Double Patterning (SADP) scheme for line level patterning. Usually a 2X pitch pattern was printed first, followed by a Sidewall Image Transfer (SIT) technique to create the 1X pitch pattern. A block lithography process is then used to trim this pattern to form the actual designed pattern. In this paper, 48nm and 45nm pitch SADP build will be used as examples to demonstrate the SADP patterning scheme. General discussions about this patterning scheme will be provided including: 1) the process flow of this technique, 2) benefits of the technique vs. pitch split approach, 3) the design impact and limitation, and 4) the extendability to smaller line pitch build.
- Published
- 2013
- Full Text
- View/download PDF
38. Electromigration extendibility of Cu(Mn) alloy-seed interconnects, and understanding the fundamentals
- Author
-
Ming He, Cathryn Christiansen, Kunaljeet Tanwar, Frieder H. Baumann, Patrick W. DeHaven, Samuel S. Choi, J. Rowland, T. Ryan, Hoon Kim, Leo Tai, A. Simon, Anita Madan, Donald F. Canaperi, Tibor Bolom, X. Zhang, Steven E. Molis, F. Ito, Akira Uedono, Philip L. Flaitz, C.-K. Hu, R. J. Davis, Daniel C. Edelstein, R. Murphy, Christopher Parks, Christopher J. Penny, Terry A. Spooner, James J. Kelly, James Chingwei Li, Sunny Chiang, and Takeshi Nogami
- Subjects
Metal ,Materials science ,visual_art ,Metallurgy ,Alloy ,engineering ,visual_art.visual_art_medium ,Mn alloy ,Chemical vapor deposition ,engineering.material ,Layer (electronics) ,Electromigration - Abstract
Cu(Mn) alloy seed BEOL studies revealed fundamental insights into Mn segregation and EM enhancement. We found a metallic-state Mn-rich Cu layer under the MnOx layer at the Cu/SiCNH cap interface, and correlated this metallic layer with additional EM enhancement. A carbonyl-based CVD-Co liner film consumed Mn, reducing its segregation and EM benefit, suggesting O-free Co liner films are strategic for Cu-alloy seed extendibility.
- Published
- 2012
- Full Text
- View/download PDF
39. 56 nm pitch copper dual-damascene interconnects with triple pitch split metal and double pitch split via
- Author
-
Scott Halle, Marcy Beard, Chiew-seng Koay, Oscar van der Straten, T. Levin, Lars Liemann, Juntao Li, D. Horak, Bryan Morris, Terry A. Spooner, S. Choi, Carol Boye, Donald F. Canaperi, Sylvie Mignot, Muthumanickam Sankarapandian, Elbert E. Huang, Chiahsun Tseng, James Hsueh-Chung Chen, Erin Mclellan, James J. Kelly, S. Fan, James J. Demarest, Nicole Saulnier, Hosadurga Shobha, Matthew E. Colburn, Balasubramanian S. Haran, Yongan Xu, Yunpeng Yin, Larry Clevenger, Christopher J. Waskiewicz, Mignot Yann, and John C. Arnold
- Subjects
Interconnection ,Materials science ,business.industry ,Copper interconnect ,chemistry.chemical_element ,Copper ,Line (electrical engineering) ,chemistry ,Logic gate ,Electronic engineering ,Optoelectronics ,business ,Layer (electronics) ,Lithography - Abstract
This work demonstrates the building of a 56 nm pitch copper dual damascene interconnects which connects to the local interconnect level. This M1/V0 dual-damascene used a triple pitch split bi-directional M1 and a double pitch split contact (V0) scheme where the local interconnects are with double pitch split in each direction, respectively. This scheme will provide great design flexibility for the advanced logic circuits. The patterning scheme is multiple negative tone development lithography-etch. A memorization layer is utilized in the triple patterned M1 and the double patterned V0 levels, respectively. After transferring the two via levels into the metal memorization layer, a self-aligned-via (SAV) RIE scheme was used to create vias confined by line trenches such that via to line spacing is maximized for better reliability. Seven litho/etch steps (LIP1/LIP2/V0C1/V0C2/M1P1/M1P2/M1P3) were employed to present this revolutionary interconnects.
- Published
- 2012
- Full Text
- View/download PDF
40. Robust low-k film with sub-nm pores and high carbon content for highly reliable Cu/low-k BEOL modules
- Author
-
Makoto Ueki, Anita Madan, J. Kawahara, Naoya Inoue, Terry A. Spooner, Eric G. Liniger, Hosadurga Shobha, Errol Todd Ryan, Stephan A. Cohen, Hironori Yamamoto, J. Protzman, Vivian W. Ryan, Masayoshi Tagami, Yoshihiro Hayashi, Stephen M. Gates, E. Soda, and Fuminori Ito
- Subjects
Materials science ,business.industry ,High adhesion ,Electronic engineering ,Optoelectronics ,Time-dependent gate oxide breakdown ,Adhesive ,Porosity ,business ,Capacitance ,Scaling ,High carbon - Abstract
Critical parameters of low-k films were defined to keep capacitance benefit and TDDB reliability in the scaling BEOL module, according to various analyses. In order to meet the criteria of high carbon content, low porosity with small pores, and high adhesion strength with less adhesion layer, precursor and process were designed for the SiOCH with k∼2.5. The benefits in integration and reliability from the newly developed robust low-k film were verified through the trench-first integration of 80 nm-pitch BEOL modules.
- Published
- 2012
- Full Text
- View/download PDF
41. 56nm-pitch low-k/Cu dual-damascene interconnects integration with sidewall image transfer (SIT) patterning scheme
- Author
-
Hosadurga Shobha, Yunpeng Yin, Terry A. Spooner, M. Tagami, M. Ishikawa, K. Shimada, E. Soda, S. H. Chen, John C. Arnold, Nicole Saulnier, Christopher J. Waskiewicz, Matthew E. Colburn, and Takamasa Usui
- Subjects
Materials science ,Resist ,business.industry ,Multiple patterning ,Electronic engineering ,Copper interconnect ,Optoelectronics ,Photoresist ,business ,Capacitance ,Lithography ,Line (electrical engineering) ,Dot pitch - Abstract
Three metal level 56nm-pitch Cu dual damascene interconnects in k2.7 low-k ILD have been demonstrated by using sidewall-image-transfer (SIT) patterning scheme to investigate the feasibility of the SIT process for sub 50nm-pitch technology node. 45nm-pitch line resistance (R) and capacitance (C) simulation are performed to estimate the R-C variation for double patterning schemes. The photoresist mandrel SIT process for 56nm-pitch Cu line is investigated to develop the constant line pitch and less line edge roughness (LER). The basic electrical properties such as line open/short and via chain open/short yields are ∼100%. The 56nm-pitch R-C variation is comparable to simulated 80nm-pitch R-C variation. The SIT patterning process is a strong candidate to improve the R-C variation for sub50nm-pitch technology nodes.
- Published
- 2012
- Full Text
- View/download PDF
42. Assessment of negative tone development challenges
- Author
-
Sohan Singh Mehta, Yunpeng Yin, Yannick Loquet, Chiahsun Tseng, Peggy Lawson, Chen Jim C, Shinichiro Kawakami, Dave Hetzer, Sean D. Burns, Matthew E. Colburn, Mark Kelling, Vikrant Chauhan, Lior Huli, Shyng-Tsong Chen, Jerome Wandell, Guillaume Landie, Martin Glodde, Bassem Hamieh, Chiew-seng Koay, Yongan Xu, Shannon Dunn, Alvin G. Thomas, John C. Arnold, Terry A. Spooner, Jeong Soo Kim, Yuyang Sun, Martin Burkhardt, David V. Horak, Hirokazu Kato, Yoshinori Matsui, Jason Cantone, and Mignot Yann
- Subjects
Tone (musical instrument) ,Resist ,Optical proximity correction ,Computer science ,Process (computing) ,Nanotechnology ,Wafer ,Substrate (printing) ,Engineering physics ,Block (data storage) - Abstract
The objective of this work is to describe the advances in 193nm photoresists using negative tone developer and key challenges associated with 20nm and beyond technology nodes. Unlike positive tone resists which use protected polymer as the etch block, negative tone developer resists must adhere to a substrate with a deprotected polymer matrix; this poses adhesion and bonding challenges for this new patterning technology. This problem can be addressed when these photo resists are coated on anti-reflective coatings with plentiful silicon in them (SiARC), which are specifically tailored for compatibility with the solvent developing resist. We characterized these modified SiARC materials and found improvement in pattern collapse thru-pitches down to 100nm. Fundamental studies were carried out to understand the interactions between the resist materials and the developers. Different types of developers were evaluated and the best candidate was down selected for contact holes and line space applications. The negative tone developer proximity behavior has been investigated through optical proximity correction (OPC) verification. The defectivity through wafer has been driven down from over 1000 adders/wafer to less than 100 adders/wafer by optimizing the develop process. Electric yield test has been conducted and compared between positive tone and negative tone developer strategies. In addition, we have done extensive experimental work to reduce negative tone developer volume per wafer to bring cost of ownership (CoO) to a value that is equal or even lower than that of positive tone CoO.
- Published
- 2012
- Full Text
- View/download PDF
43. Robust self-aligned via process for 64nm pitch Dual-Damascene interconnects using pitch split double exposure patterning scheme
- Author
-
Hirokazu Kato, J. Maniscalco, Kazumichi Tsumura, D. Horak, John C. Arnold, Guillaume Landie, Shyng-Tsong Chen, Hideyuki Tomizawa, Hosadurga Shobha, O. van der Straten, Muthumanickam Sankarapandian, Sean D. Burns, Takamasa Usui, Terry A. Spooner, Yunpeng Yin, Tuan A. Vo, Chiew-seng Koay, Matt Colburn, M. Tagami, James J. Kelly, and M. Ishikawa
- Subjects
Yield (engineering) ,Materials science ,business.industry ,Copper interconnect ,chemistry.chemical_element ,Overlay ,Cross section (geometry) ,chemistry ,Scanning transmission electron microscopy ,Electronic engineering ,Optoelectronics ,Degradation (geology) ,business ,Tin ,Deposition (law) - Abstract
A self-aligned via(SAV) process was employed to build 64nm pitch Dual-Damascene(DD) interconnects using a pitch split double exposure pattering scheme to form the Cu lines. TiN hardmask (HM) density and thickness were optimized to achieve the SAV process and DD structure build. We present STEM cross sections of the structures after TiN HM deposition, HM open and DD RIE to determine the minimum required TiN HM thickness for the SAV process. We characterized the TiN loss for each RIE step from cross section results and defined the optimal TiN thickness for 64nm pitch interconnects. Using the optimized TiN thickness, we fabricated DD structures and compared the metal-to-via short electrical performance for SAV and non-SAV processes to show the overlay (OL) impact on shorts yield. Structures fabricated using the SAV process have excellent yield regardless of the degree of via misalignment in the SAV direction since no via CD growth occurs in the constrained SAV direction, while those processed with a non-SAV scheme show via yield degradation with increasing via misalignment. Also, with respect to misalignment in the non-SAV direction, there were no significant electrical differences between structures made using SAV and non-SAV approaches.
- Published
- 2011
- Full Text
- View/download PDF
44. Improvement of RC performance for advanced ULK/Cu interconnects with CVD hybrid dielectric/metal liner
- Author
-
C.-C. Yang, Stephan A. Cohen, Patrick W. DeHaven, E. Soda, R. J. Davis, Steven E. Molis, M. Tagami, C. Parks, Anita Madan, R. Murphy, Hosadurga Shobha, F. Ito, and Terry A. Spooner
- Subjects
Interconnection ,Materials science ,Diffusion barrier ,Electrical resistance and conductance ,Electronic engineering ,Chemical vapor deposition ,Dielectric ,Composite material ,RC circuit ,Porous medium ,Capacitance - Abstract
A CVD-hybrid dielectric/metal liner has been demonstrated by simulation and actual structure. From line resistance (R) and line capacitance (C) simulation, the CVD-hybrid liner deposited on porous ULK (k=2.2) inter layer dielectrics (ILD) is shown that it is possible to improve RC performance. The CVD-hybrid liner with CVD-SiCN and CVD-Ru shows good liner conformality, Cu diffusion barrier property and oxidation barrier property. Integration process is investigated to achieve optimum CVD-hybrid liner structure with special RIE technique. 14.6% RC performance improvement can be achieved with triple-layered ULK(k=2.2)/Cu integrated interconnect structure. The CVD-hybrid liner is a strong candidate to achieve a better RC performance for future technology nodes.
- Published
- 2011
- Full Text
- View/download PDF
45. 64 nm pitch Cu dual-damascene interconnects using pitch split double exposure patterning scheme
- Author
-
T. Levin, James J. Kelly, Shyng-Tsong Chen, Guillaume Landie, Stephan A. Cohen, John C. Arnold, Anthony Francis Scaduto, Takamasa Usui, Kazumichi Tsumura, M. Tagami, Hosadurga Shobha, Scott Halle, D. Horak, C-S. Koay, Sean D. Burns, Hideyuki Tomizawa, Muthumanickam Sankarapandian, Mignot Yann, M. Ishikawa, O. van der Straten, Yunpeng Yin, Donald F. Canaperi, Yongan Xu, Hirokazu Kato, Terry A. Spooner, Erin Mclellan, and Matthew E. Colburn
- Subjects
Stress (mechanics) ,Reliability (semiconductor) ,Materials science ,business.industry ,Trench ,Copper interconnect ,Multiple patterning ,Electronic engineering ,Optoelectronics ,Undercut ,business ,Lithography ,Capacitance - Abstract
This work demonstrates the building of 64 nm pitch copper single and dual damascene interconnects using pitch split double patterning scheme to enable sub 80nm pitch patterning. A self-aligned-via (SAV) litho/RIE scheme was used to create vias confined by line trenches such that via to line spacing is maximized for better reliability. An undercut free post RIE trench profile enabled the good metal fill. Initial reliability test result and the possibility of using the same scheme for 56 nm pitch interconnects are also discussed.
- Published
- 2011
- Full Text
- View/download PDF
46. Optimization of pitch-split double patterning phoresist for applications at the 16nm node
- Author
-
Matthew E. Colburn, Steven J. Holmes, Brian Osborn, Sean D. Burns, Shinichiro Kawakami, David Hetzer, Sumanth Kini, Hideyuki Tomizawa, Nicolette Fender, Chiew-seng Koay, Karen Petrillo, John C. Arnold, Terry A. Spooner, Yunpeng Yin, Guillaume Landie, Rex Chen, Mark Slezak, Rao Varanasi, Scott Halle, Cherry Tang, Shyng-Tsong Chen, Jason Cantone, Sen Liu, Shannon Dunn, and Lovejeet Singh
- Subjects
Optics ,Fabrication ,Materials science ,Resist ,Computer Science::Sound ,business.industry ,Multiple patterning ,Process window ,Semiconductor device ,business ,Dark field microscopy ,Lithography ,Critical dimension - Abstract
Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to standard bright field applications.
- Published
- 2011
- Full Text
- View/download PDF
47. Optimization of pitch-split double patterning photoresist for applications at the 16nm node
- Author
-
John C. Arnold, Mark Slezak, Rao Varanasi, Matthew E. Colburn, Yunpeng Yin, Cherry Tang, Scott Halle, Lovejeet Singh, Shyng-Tsong Chen, Guillaume Landie, Terry A. Spooner, Chiew-seng Koay, Nicolette Fender, Sen Liu, Rex Chen, Steven J. Holmes, Brian Osborn, Sean D. Burns, Karen Petrillo, Sumanth Kini, and Hideyuki Tomizawa
- Subjects
Fabrication ,Materials science ,business.industry ,Nanotechnology ,Semiconductor device ,Photoresist ,Dark field microscopy ,Resist ,Computer Science::Sound ,Multiple patterning ,Optoelectronics ,Process window ,business ,Critical dimension - Abstract
Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to standard bright field applications.
- Published
- 2011
- Full Text
- View/download PDF
48. High reliability 32 nm Cu/ULK BEOL based on PVD CuMn seed, and its extendibility
- Author
-
Leo Tai, Philip L. Flaitz, Samuel S. Choi, M. Zaitz, J. Schmatz, Stephan A. Cohen, H. Chen, Son Nguyen, Yun-Yu Wang, Terry A. Spooner, R. Murphy, John C. Arnold, P. Kozlowski, F. Chen, Eric G. Liniger, Oscar van der Straten, T. Bolom, Cyril Cabral, Kazumichi Tsumura, Tuan A. Vo, James J. Kelly, C.-K. Hu, Griselda Bonilla, S. H. Chen, Patrick W. DeHaven, S-H. Rhee, Christopher Parks, Christopher J. Penny, T. Lee, Baozhen Li, Donald F. Canaperi, J. Maniscalco, Clevenger Leigh Anne H, Frieder H. Baumann, T. Ryan, Takeshi Nogami, B. St. Lawrence, Steven E. Molis, F. Ito, Anita Madan, Cathryn Christiansen, Hosadurga Shobha, A. Simon, Takamasa Usui, R. J. Davis, Daniel C. Edelstein, and B-Y. Kim
- Subjects
Line resistance ,Reliability (semiconductor) ,Materials science ,chemistry ,Metallurgy ,Alloy ,engineering ,Reliability methods ,chemistry.chemical_element ,Time-dependent gate oxide breakdown ,engineering.material ,Electromigration ,Copper - Abstract
A 32 nm BEOL with PVD CuMn seedlayer and conventional PVD-TaN/Ta liner was fully characterized by fundamental, integrated, and reliability methods. CuMn was confirmed to have fundamental advantages over CuAl, such as higher electromigration (EM) reliability for the same Cu line resistance (R). Both low R and high reliability (EM, SM, and TDDB) were achieved. Improved extendibility of CuMn relative to CuAl was also supported by studies of alloy interactions with advanced liner materials Ru and Co, and by enhancement of ultra-thin TaN barrier performance.
- Published
- 2010
- Full Text
- View/download PDF
49. Patternable low-кmaterial for 'greener' semiconductor manufacturing
- Author
-
Blake Davis, Deborah A. Neumayer, Qinghuang Lin, J. Patel, Ranee W. Kwong, P. J. Brock, Alshakim Nelson, Hosadurga Shobha, Nicholas C. M. Fuller, Stephan A. Cohen, Robert D. Allen, Ratnam Sooriyakumaran, Terry A. Spooner, Robert L. Wisnieff, Eric G. Liniger, Shyng-Tsong Chen, Jeffrey P. Gambino, Richard D. Kaplan, R. D. Miller, and Sampath Purushothaman
- Subjects
Semiconductor industry ,Materials science ,Resist ,Semiconductor device fabrication ,law ,Plasma-enhanced chemical vapor deposition ,Nanotechnology ,System on a chip ,Dielectric ,Photolithography ,Manufacturing cost ,law.invention - Published
- 2010
- Full Text
- View/download PDF
50. CVD Co and its application to Cu damascene interconnections
- Author
-
M. Zaitz, Sunny Chiang, Samuel S. Choi, Terry A. Spooner, R. Murphy, A. Simon, Leo Tai, H. Chen, J. Ren, J. Fine, Kazumichi Tsumura, Patrick W. DeHaven, Takeshi Nogami, R. J. Davis, Vivian W. Ryan, Donald F. Canaperi, P. Kozlowski, B. St. Lawrence, J. Schmatz, Philip L. Flaitz, Tibor Bolom, Christopher Parks, Christopher J. Penny, Joseph F. Aubuchon, J. Maniscalco, Daniel C. Edelstein, Tuan A. Vo, Timothy M. Shaw, Paul F. Ma, James J. Kelly, S-H. Rhee, Oscar van der Straten, Anita Madan, B-Y. Kim, Cyril Cabral, C.-K. Hu, Stephan A. Cohen, and Fuminori Ito
- Subjects
Materials science ,Diffusion barrier ,Annealing (metallurgy) ,Metallurgy ,Oxide ,Copper interconnect ,chemistry.chemical_element ,Chemical vapor deposition ,Copper ,chemistry.chemical_compound ,chemistry ,X-ray photoelectron spectroscopy ,Chemical engineering ,Cobalt - Abstract
Fundamental material interactions as pertinent to nano-scale copper interconnects were studied for CVD Co with a variety of micro-analytical techniques. Native Co oxide grew rapidly within a few hours (XPS). Incorporation of oxygen and carbon in the CVD Co films (by AES and SIMS) depended on underlying materials, such as Ta, TaN, or Ru. Copper film texture (by XRD) and agglomeration resistance (by AFM) showed correlations with amounts of in-film oxygen/carbon. Cobalt diffused through copper at normal processing temperatures (by SIMS). CVD Co demonstrated diffusion barrier performance to Cu (by Triangular Voltage Sweep, TVS), but not to O 2 . CVD Co was applied to 32 nm/22 nm damascene Cu interconnect fabrication in a scheme defined by the material studies. Lower post-CMP defect density and longer electromigration lifetimes were obtained.
- Published
- 2010
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.