1,176 results on '"Wafer-scale integration"'
Search Results
2. Integrated Logic Circuits Based on Wafer-Scale 2D-MoS 2 FETs Using Buried-Gate Structures.
- Author
-
Lee, Ju-Ah, Yoon, Jongwon, Hwang, Seungkwon, Hwang, Hyunsang, Kwon, Jung-Dae, Lee, Seung-Ki, and Kim, Yonghun
- Subjects
- *
INTEGRATED circuits , *BREAKDOWN voltage , *LOGIC circuits , *MOLYBDENUM disulfide , *FIELD-effect devices , *TRANSISTORS - Abstract
Two-dimensional (2D) transition-metal dichalcogenides (TMDs) materials, such as molybdenum disulfide (MoS2), stand out due to their atomically thin layered structure and exceptional electrical properties. Consequently, they could potentially become one of the main materials for future integrated high-performance logic circuits. However, the local back-gate-based MoS2 transistors on a silicon substrate can lead to the degradation of electrical characteristics. This degradation is caused by the abnormal effect of gate sidewalls, leading to non-uniform field controllability. Therefore, the buried-gate-based MoS2 transistors where the gate electrodes are embedded into the silicon substrate are fabricated. The several device parameters such as field-effect mobility, on/off current ratio, and breakdown voltage of gate dielectric are dramatically enhanced by field-effect mobility (from 0.166 to 1.08 cm2/V·s), on/off current ratio (from 4.90 × 105 to 1.52 × 107), and breakdown voltage (from 15.73 to 27.48 V) compared with a local back-gate-based MoS2 transistor, respectively. Integrated logic circuits, including inverters, NAND, NOR, AND, and OR gates, were successfully fabricated by 2-inch wafer-scale through the integration of a buried-gate MoS2 transistor array. [ABSTRACT FROM AUTHOR]
- Published
- 2023
- Full Text
- View/download PDF
3. From clean room to machine room: commissioning of the first-generation BrainScaleS wafer-scale neuromorphic system
- Author
-
Hartmut Schmidt, José Montes, Andreas Grübl, Maurice Güttler, Dan Husmann, Joscha Ilmberger, Jakob Kaiser, Christian Mauch, Eric Müller, Lars Sterzenbach, Johannes Schemmel, and Sebastian Schmitt
- Subjects
neuromorphic hardware ,wafer-scale integration ,spiking neural networks ,emulated networks ,analog neuromorphic devices ,synfire chains ,Electronic computers. Computer science ,QA75.5-76.95 - Abstract
The first-generation of BrainScaleS, also referred to as BrainScaleS-1, is a neuromorphic system for emulating large-scale networks of spiking neurons. Following a ‘physical modeling’ principle, its VLSI circuits are designed to emulate the dynamics of biological examples: analog circuits implement neurons and synapses with time constants that arise from their electronic components’ intrinsic properties. It operates in continuous time, with dynamics typically matching an acceleration factor of 10 000 compared to the biological regime. A fault-tolerant design allows it to achieve wafer-scale integration despite unavoidable analog variability and component failures. In this paper, we present the commissioning process of a BrainScaleS-1 wafer module, providing a short description of the system’s physical components, illustrating the steps taken during its assembly and the measures taken to operate it. Furthermore, we reflect on the system’s development process and the lessons learned to conclude with a demonstration of its functionality by emulating a wafer-scale synchronous firing chain, the largest spiking network emulation ran with analog components and individual synapses to date.
- Published
- 2023
- Full Text
- View/download PDF
4. A Low-Temperature Nickel Silicide Process for Wafer Bonding and High-Density Interconnects.
- Author
-
Smith, Melissa A., Holihan, Eric, Duncan, Bradley P., McRae, James C., Miller, Paul, Stull, Corey, Pinelli, Gianni, Yost, Donna-Ruth Webb, and Racz, Livia M.
- Subjects
- *
SEMICONDUCTOR wafer bonding , *NICKEL , *INTEGRATED circuits , *NICKEL alloys , *COMPLEMENTARY metal oxide semiconductors - Abstract
Wafer-scale heterogeneous integration provides a viable pathway for the development of highly capable microsystems. However, it remains challenging to integrate die-and wafer-level components with a high-density interconnects while minimizing the system volume and within the temperature restrictions imposed by integrated circuits. Advancements in CMOS have motivated the development of low-temperature and low-resistance metal–silicon alloys or silicides. Nickel silicide (NiSi) is a CMOS-compatible material that forms at temperatures and anneal times within the thermal budget of commercial CMOS die and can be implemented with a wide range of nickel and silicon thin-film processing methods. We describe here the development of a 3-D integration strategy utilizing NiSi formation to generate both mechanical bonding and electrical interconnection between wafers. Specifically, we show that our NiSi-based wafer-bonding process is effective below 400 °C, at very short anneal times (minutes), and with a variety of thin-film processing methods. This NiSi-based process offers a robust approach for creating heterogeneously integrated microsystems in a CMOS-compatible fashion. [ABSTRACT FROM AUTHOR]
- Published
- 2020
- Full Text
- View/download PDF
5. Long-wavelength two-dimensional WDM vertical cavity surface-emitting laser arrays fabricated by nonplanar wafer bonding
- Author
-
Geske, J, Okuno, Y L, Leonard, D, and Bowers, J E
- Subjects
optical fiber communication ,optical pumping ,semiconductor lasers ,surface-emitting lasers ,wafer bonding ,wafer-scale integration ,wavelength-division multiplexing (WDM) - Abstract
We demonstrate the first, long-wavelength two-dimensional wavelength-division-multiplexed vertical cavity surface-emitting laser array. The eight-channel single-mode array covers the C-band from 1532 to 1565 nm. The devices are fabricated using two separate active regions laterally integrated using nonplanar wafer bonding. We achieved single-mode powers up to 0.8 mW, 2-dB output power uniformity across the array, and sidemode suppression ratios in excess of 43 dB. This fabrication technique can be used to maintain the gain-peak and cavity-mode alignment across wide-band arrays and, with the use of nontraditional mirrors, can be extended to the fabrication of arrays covering the entire C-, S-, and L-bands as well as the 1310-nin transmission band.
- Published
- 2003
6. A Defect-Tolerant Reusable Network of DACs for Wafer-Scale Integration.
- Author
-
Laflamme-Mayer, Nicolas, Kowarzyk, Gilbert, Blaquiere, Yves, Savaria, Yvon, and Sawan, Mohamad
- Subjects
DIGITAL-to-analog converters ,WAFER-scale integration of circuits ,DIGITIZATION - Abstract
A novel defect-tolerant network of digital-to-analog converters (DACs) is presented in this paper. The architecture of this converter employs a single 2.5-V voltage reference and an unbalanced buffering technique to achieve a wide voltage range that extends from 864 mV to 2.538 V with an 8-bit resolution. The proposed converter incorporates a defect-tolerant architecture and is extremely compact, utilizing a per-bit silicon area of less than 350 $\mu \text{m}^{2}$. Although such very small area allows for embedding in dense configurable fabrics (field-programmable gate arrays) and wafer-scale integration, the overall performance is not sacrificed as reported measurements show a signal-to-noise ratio of 51.87 dB and a spurious-free dynamic range of 42.31 dB, at 10 MS/s providing 7.6 effective bits. Moreover, the proposed architecture benefits from dynamic calibration capabilities, as any converter output can be finely adjusted over a range of 25 mV. This proposed DAC is also extensively reused in the same defect-tolerant network for a successive approximation register-analog-to-digital converter, as well as for a configurable voltage reference. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
7. The Path to Successful Wafer-Scale Integration: The Cerebras Story
- Author
-
Gary Lauterbach
- Subjects
Wafer-scale integration ,Intel 4004 ,Hardware and Architecture ,Computer science ,Logic gate ,Bandwidth (signal processing) ,Launched ,Semiconductor device modeling ,Redundancy (engineering) ,Electrical and Electronic Engineering ,Industrial engineering ,Scaling ,Software - Abstract
There has been an impressive increase in single-chip processing power since the Intel 4004 was launched in 1971. This is usually attributed to Moore's law, but there are additional factors to consider. In understanding the components of prior improvements, we can gain insight into the potential for future improvements and potential limits to scaling.
- Published
- 2021
- Full Text
- View/download PDF
8. Micro-transfer printing for heterogeneous Si photonic integrated circuits
- Author
-
Gunther Roelkens, Jing Zhang, Laurens Bogaert, Maximilien Billet, Dongbo Wang, Biwei Pan, Clemens J. Kruckel, Emadreza Soltanian, Dennis Maes, Tom Vanackere, Tom Vandekerckhove, Stijn Cuyvers, Jasper De Witte, Isaac Luntadila Lufungula, Xin Guo, He Li, Senbiao Qin, Grigorij Muliuk, Sarah Uvin, Bahawal Haq, Camiel Op de Beeck, Jeroen Goyvaerts, Guy Lepage, Peter Verheyen, Joris Van Campenhout, Geert Morthier, Bart Kuyken, Dries Van Thourhout, and Roel Baets
- Subjects
Silicon ,Technology and Engineering ,Substrates ,PHOTODIODES ,EFFICIENT ,Integrated optics ,semiconductor lasers ,Atomic and Molecular Physics, and Optics ,Optical waveguides ,III-V semiconductor materials ,Photonics ,printing ,silicon on insulator ,technology ,Integrated optoelectronics ,Electrical and Electronic Engineering ,waveguide components ,wafer-scale integration - Abstract
Silicon photonics (SiPh) is a disruptive technology in the field of integrated photonics and has experienced rapid development over the past two decades. Various high-performance Si and Ge/Si-based components have been developed on this platform that allow for complex photonic integrated circuits (PICs) with small footprint. These PICs have found use in a wide range of applications. Nevertheless, some non-native functions are still desired, despite the versatility of Si, to improve the overall performance of Si PICs and at the same time cut the cost of the eventual Si photonic system-on-chip. Heterogeneous integration is verified as an effective solution to address this issue, e.g. through die-wafer-bonding and flip-chip. In this paper, we discuss another technology, micro-transfer printing, for the integration of non-native material films/opto-electronic components on SiPh-based platforms. This technology allows for efficient use of non-native materials and enables the (co-)integration of a wide range of materials/devices on wafer scale in a massively parallel way. In this paper we review some of the recent developments in the integration of non-native optical functions on Si photonic platforms using micro-transfer printing.
- Published
- 2023
9. GaAs on Si substrate with dislocation filter layers for wafer‐scale integration
- Author
-
Joon Tae Ahn, Shinmo An, Ho Sung Kim, Young-Ho Ko, Duk-Jun Kim, Won Seok Han, Kap-Joong Kim, and Tae-Soo Kim
- Subjects
metalorganic chemical vapor deposition ,Wafer-scale integration ,Materials science ,TK7800-8360 ,General Computer Science ,threading dislocation density ,business.industry ,Bowing ,bowing ,TK5101-6720 ,heteroepitaxy ,Electronic, Optical and Magnetic Materials ,Si substrate ,Filter (video) ,Telecommunication ,Optoelectronics ,Electronics ,Electrical and Electronic Engineering ,Dislocation ,business - Abstract
GaAs on Si grown via metalorganic chemical vapor deposition is demonstrated using various Si substrate thicknesses and three types of dislocation filter layers (DFLs). The bowing was used to measure wafer‐scale characteristics. The surface morphology and electron channeling contrast imaging (ECCI) were used to analyze the material quality of GaAs films. Only 3‐μm bowing was observed using the 725‐μm‐thick Si substrate. The bowing shows similar levels among the samples with DFLs, indicating that the Si substrate thickness mostly determines the bowing. According to the surface morphology and ECCI results, the compressive strained indium gallium arsenide/GaAs DFLs show an atomically flat surface with a root mean square value of 1.288 nm and minimum threading dislocation density (TDD) value of 2.4 × 107 cm−2. For lattice‐matched DFLs, the indium gallium phosphide/GaAs DFLs are more effective in reducing the TDD than aluminum gallium arsenide/GaAs DFLs. Finally, we found that the strained DFLs can block propagate TDD effectively. The strained DFLs on the 725‐μm‐thick Si substrate can be used for the large‐scale integration of GaAs on Si with less bowing and low TDD.
- Published
- 2021
- Full Text
- View/download PDF
10. Wafer-scale integration of two-dimensional materials in high-density memristive crossbar arrays for artificial neural networks
- Author
-
Chao Wen, Deji Akinwande, Mario Lanza, Yuanyuan Shi, Chandreswar Mahata, Mohammad Reza Mahmoodi, Xianhu Liang, Fei Hui, Shaochuan Chen, Dmitri B. Strukov, and Bin Yuan
- Subjects
Wafer-scale integration ,Materials science ,Artificial neural network ,business.industry ,Memristor ,Chemical vapor deposition ,Electronic, Optical and Magnetic Materials ,law.invention ,Neuromorphic engineering ,law ,Optoelectronics ,Electronics ,Electrical and Electronic Engineering ,Crossbar switch ,business ,Instrumentation ,Electronic circuit - Abstract
Two-dimensional materials could play an important role in beyond-CMOS (complementary metal–oxide–semiconductor) electronics, and the development of memristors for information storage and neuromorphic computing using such materials is of particular interest. However, the creation of high-density electronic circuits for complex applications is limited due to low device yield and high device-to-device variability. Here, we show that high-density memristive crossbar arrays can be fabricated using hexagonal boron nitride as the resistive switching material, and used to model an artificial neural network for image recognition. The multilayer hexagonal boron nitride is deposited using chemical vapour deposition, and the arrays exhibit a high yield (98%), low cycle-to-cycle variability (1.53%) and low device-to-device variability (5.74%). The devices exhibit different switching mechanisms depending on the electrode material used (gold for bipolar switching and silver for threshold switching), as well as characteristics (such as large dynamic range and zeptojoule-order switching energies) that make them suited for application in neuromorphic circuits. High-density memristive crossbar arrays made from two-dimensional hexagonal boron nitride can be fabricated with a yield of 98% and used to emulate artificial neural networks.
- Published
- 2020
- Full Text
- View/download PDF
11. A Low-Temperature Nickel Silicide Process for Wafer Bonding and High-Density Interconnects
- Author
-
Melissa A. Smith, Paul Miller, Corey Stull, Eric Holihan, James C. McRae, Gianni Pinelli, Bradley Duncan, Livia M. Racz, and Donna-Ruth Yost
- Subjects
Interconnection ,Wafer-scale integration ,Materials science ,Silicon ,Wafer bonding ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,Engineering physics ,Industrial and Manufacturing Engineering ,Die (integrated circuit) ,Electronic, Optical and Magnetic Materials ,law.invention ,CMOS ,chemistry ,law ,Hardware_INTEGRATEDCIRCUITS ,Wafer ,Electrical and Electronic Engineering - Abstract
Wafer-scale heterogeneous integration provides a viable pathway for the development of highly capable microsystems. However, it remains challenging to integrate die-and wafer-level components with a high-density interconnects while minimizing the system volume and within the temperature restrictions imposed by integrated circuits. Advancements in CMOS have motivated the development of low-temperature and low-resistance metal–silicon alloys or silicides. Nickel silicide (NiSi) is a CMOS-compatible material that forms at temperatures and anneal times within the thermal budget of commercial CMOS die and can be implemented with a wide range of nickel and silicon thin-film processing methods. We describe here the development of a 3-D integration strategy utilizing NiSi formation to generate both mechanical bonding and electrical interconnection between wafers. Specifically, we show that our NiSi-based wafer-bonding process is effective below 400 °C, at very short anneal times (minutes), and with a variety of thin-film processing methods. This NiSi-based process offers a robust approach for creating heterogeneously integrated microsystems in a CMOS-compatible fashion.
- Published
- 2020
- Full Text
- View/download PDF
12. Wafer-scale integration of stretchable semiconducting polymer microstructures via capillary gradient
- Author
-
Jiangang Feng, Lei Jiang, Yan Zhao, Shuang Li, Hanfei Gao, Le Wang, Yewang Su, Junchuan Yang, Yuchen Wu, Yuchen Qiu, Penghua Wu, and Bo Zhang
- Subjects
chemistry.chemical_classification ,Multidisciplinary ,Materials science ,Wafer-scale integration ,business.industry ,Science ,Transistor ,General Physics and Astronomy ,General Chemistry ,Polymer ,Article ,General Biochemistry, Genetics and Molecular Biology ,Threshold voltage ,law.invention ,Organic semiconductor ,chemistry ,law ,Electronic devices ,Optoelectronics ,Wafer ,Electronics ,business ,Electronic circuit - Abstract
Organic semiconducting polymers have opened a new paradigm for soft electronics due to their intrinsic flexibility and solution processibility. However, the contradiction between the mechanical stretchability and electronic performances restricts the implementation of high-mobility polymers with rigid molecular backbone in deformable devices. Here, we report the realization of high mobility and stretchability on curvilinear polymer microstructures fabricated by capillary-gradient assembly method. Curvilinear polymer microstructure arrays are fabricated with highly ordered molecular packing, controllable pattern, and wafer-scale homogeneity, leading to hole mobilities of 4.3 and 2.6 cm2 V−1 s−1 under zero and 100% strain, respectively. Fully stretchable field-effect transistors and logic circuits can be integrated in solution process. Long-range homogeneity is demonstrated with the narrow distribution of height, width, mobility, on-off ratio and threshold voltage across a four-inch wafer. This solution-assembly method provides a platform for wafer-scale and reproducible integration of high-performance soft electronic devices and circuits based on organic semiconductors., Though stretchable semiconducting polymers are advantageous for electronic applications requiring low cost, the low performance of patterned microstructures remains a challenge. Here, the authors realize high mobility, stretchable polymer microstructures via a capillary-gradient assembly method.
- Published
- 2021
- Full Text
- View/download PDF
13. Simplified vacuum packaging process by gas gettering using the Au/Ta/Ti metal bonding layer
- Author
-
S. Kariya, Eiji Higurashi, Y. Kurashima, Hideki Takagi, Masanori Hayase, and Takashi Matsumae
- Subjects
Metal ,Materials science ,Wafer-scale integration ,Chemical engineering ,Getter ,visual_art ,Scientific method ,visual_art.visual_art_medium ,Molecule ,Vacuum packing ,Layer (electronics) ,Metallic bonding - Abstract
Au/Ta/Ti metal multilayer was developed for the improved vacuum packaging process. Wafer-level packaging after degas at 200 °C and absorbing gas molecules at 300 °C were successfully demonstrated using this multilayer. The activation temperature for gas gettering was lower than that of previous studies using the Au/Pt/Ti layer.
- Published
- 2021
- Full Text
- View/download PDF
14. High Speed Cu Plating Technology for Wafer Level Packaging
- Author
-
Jia Zhao-Wei, Wang Jian, and David Wang
- Subjects
Diffusion layer ,Materials science ,Wafer-scale integration ,Plating ,Metallurgy ,Copper plating ,Bumping ,Wafer ,Electroplating ,Wafer-level packaging - Abstract
According to Moore's Law, the wafer level packaging and fan-out packaging market is expected to witness a compound annual growth rate (CAGR) of 18% during the forecasted period (2021 – 2026). And there are also some challenges for the high speed plating technology in the production of wafer level advanced packaging. Recently after a long time development, ACM announces high-speed copper (Cu) plating technology, which is now available for its ECP ap system. The tool supports Cu pillar bumping for copper(Cu), nickel (Ni) and tin-silver (SnAg) plating. The unique paddle design enables the new high-speed electroplating technology to support the copper electroplating chamber with a stronger mass transfer capacity during the electroplating process. Also, the channel of diffusion layer becomes shorter and the diffusion efficiency of cation is improved. The second anode well control the electric field the distribution of cations on the wafer surface, and with the paddle stirring action, the cathode polarization phenomenon is reduced, which improved the electroplating efficiency and effectively reduced the boundary layer thickness to ensure the electroplating quality. Not only does it perform well in electroplated copper pillars, it can still maintain good uniformity and coplanarity in the electroplating of oversized bumps with higher aspect ratios and more stringent requirements for electroplating speed. The test results of ACM Ultra ECP ap series equipment meet the requirements of high speed volume production and the uniformity is also at leading edge level.
- Published
- 2021
- Full Text
- View/download PDF
15. 3D Dislocation Multi-stack Fan-out Package of Ultra-thin Dies for Heterogeneous Integration
- Author
-
Lijun Chen, Fengwei Dai, and Feng Chen
- Subjects
Wire bonding ,Materials science ,Wafer-scale integration ,Stack (abstract data type) ,business.industry ,Flash (manufacturing) ,Ball grid array ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,NAND gate ,Fan-out ,Dislocation ,business - Abstract
It's believed that 3D integration technology is a solution to beyond More-than-Moore law and to bring incremental cost-power-performance value. An advanced 3D dislocation multi-stack integration structure by fan-out wafer-level packaging has been developed for 3D integration. Four ultra-thin NAND Flash chips which thickness is about 40um can be integrated by Package-on-Package (PoP) method. The package size is ${18\text{mm}\times 12}$ mm ${\times 0.89\text{mm}}$ , and BGA pitch is 1mm. The 3D dislocation multi-stack integration technology can be used as an alternatives solution to wire bonding and through-silicon-via (TSV) technology for NAND flash packaging.
- Published
- 2021
- Full Text
- View/download PDF
16. Study on Warpage and Peeling Mitigation of Wafer Level During Metal Plating Process
- Author
-
Zhiqi Wang, Ming Xiao, and Dayang Li
- Subjects
Stress (mechanics) ,Reliability (semiconductor) ,Wafer-scale integration ,Materials science ,Passivation ,business.industry ,Plating ,Microelectronics ,Wafer ,Composite material ,business ,Wafer-level packaging - Abstract
Wafer level packaging is one of the latest trends in microelectronic packaging. Wafer warpage and metal peeling are common problem in wafer level packaging. Large warpage and large area peeling will cause the failure of post packaging and reliability problem. The mechanism of warpage and stress are the mismatch of material's coefficient of thermal expansion (CTE) and the change of temperature in the process. In this paper, after plating a metal layer on passivation (PA) layer, there is a large wafer warpage, which makes the following process unable to continue. Based on this background, plating a metal layer on the back side of wafer is innovatively proposed to overcome warpage. The warpage and peeling of back side metallization (BSM) are also measured. The experiment also compares warpage with and without BSM. The reason of peeling, the location of peeling and the interface of peeling were studied by experiments. Based on the finite element analysis (FEA). The effect of different BSM masks on warpage and peel stress were studied. The effects of local design and BSM thickness on peel stress are also studied. Through the research of this paper. It provides guidance for the metal plating process and design of BSM, and can effectively overcome the problem of wafer warpage and peeling.
- Published
- 2021
- Full Text
- View/download PDF
17. Utilizing the superior etch stop quality of HfO2 in the front end of line wafer scale integration of silicon nanowire biosensors
- Author
-
Per-Erik Hellström, Mikael Östling, and Ganesh Jayakumar
- Subjects
010302 applied physics ,Materials science ,Wafer-scale integration ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,CMOS ,Nanosensor ,0103 physical sciences ,Optoelectronics ,Wafer ,Crystalline silicon ,Electrical and Electronic Engineering ,Reactive-ion etching ,0210 nano-technology ,business ,Front end of line ,Lithography - Abstract
Silicon nanowire (SiNW) biosensors have received a special attention from the research community due to its ability to detect a range of species. The nano feature size of the SiNW has been exploited to fabricate small, low-cost, robust, portable, real-time read-out biosensors. These sensors are manufactured by two methods – top-down or bottom-up. Instead of the bottom-up method, the top-down approach is widely used due to its compatibility with complementary metal-oxide semiconductor (CMOS) process and scope of mass production. However, in the top-down method, the post fabrication microfluidic channel integration to access the SiNW test site remains complex and challenging. Since the nanosensor is expected to operate in a bio environment, it is essential to passivate the metal electrodes while pathways have to be made to access the test site. In this paper, we present a relatively easier method to access the SiNW test site without employing complex microfluidic channels while achieving leakage free passivation of metal electrodes and preserving the integrity of the nanosensor. This is accomplished in the last step of the manufacturing process by employing a lithography mask and reactive ion etching (RIE). HfO2 integrated crystalline silicon nanosensors are manufactured using novel top-down front end of line (FEOL) sidewall transfer lithography (STL) process. HfO2 acts as an etch stop layer while performing RIE in the last step to access the sensor test site. The 100 mm wafer scale results of 20 nm × 60 nm × 6 μm (H x W x L) p-type nanosensors shows an average Ion/Ioff ≥ 105 with maximum turn-on voltage of −4 V and uniform subthreshold slope of 70 mV/dec. In comparison with sensors encapsulated with SiO2, the HfO2 integrated nanosensors were found to improve the threshold voltage variation by 50%. Based on this work, the HfO2 integrated SiNW demonstrates good stability for biosensing application.
- Published
- 2019
- Full Text
- View/download PDF
18. A Defect-Tolerant Reusable Network of DACs for Wafer-Scale Integration
- Author
-
Mohamad Sawan, Yvon Savaria, Gilbert Kowarzyk, Nicolas Laflamme-Mayer, and Yves Blaquiere
- Subjects
Wafer-scale integration ,Computer science ,Dynamic range ,Topology (electrical circuits) ,02 engineering and technology ,020202 computer hardware & architecture ,law.invention ,Capacitor ,Hardware and Architecture ,law ,0202 electrical engineering, electronic engineering, information engineering ,Electronic engineering ,Calibration ,Electrical and Electronic Engineering ,Software ,Voltage reference - Abstract
A novel defect-tolerant network of digital-to-analog converters (DACs) is presented in this paper. The architecture of this converter employs a single 2.5-V voltage reference and an unbalanced buffering technique to achieve a wide voltage range that extends from 864 mV to 2.538 V with an 8-bit resolution. The proposed converter incorporates a defect-tolerant architecture and is extremely compact, utilizing a per-bit silicon area of less than 350 $\mu \text{m}^{2}$ . Although such very small area allows for embedding in dense configurable fabrics (field-programmable gate arrays) and wafer-scale integration, the overall performance is not sacrificed as reported measurements show a signal-to-noise ratio of 51.87 dB and a spurious-free dynamic range of 42.31 dB, at 10 MS/s providing 7.6 effective bits. Moreover, the proposed architecture benefits from dynamic calibration capabilities, as any converter output can be finely adjusted over a range of 25 mV. This proposed DAC is also extensively reused in the same defect-tolerant network for a successive approximation register-analog-to-digital converter, as well as for a configurable voltage reference.
- Published
- 2019
- Full Text
- View/download PDF
19. Multi-level Metallization on an Elastomer PDMS for FOWLP-based Flexible Hybrid Electronics
- Author
-
Zhe Wang, Tomo Odashima, Takafumi Fukushima, Yuki Susumago, Hisashi Kino, Ikumi Ozawa, Noriyuki Takahashi, and Tetsu Tanaka
- Subjects
business.product_category ,Wafer-scale integration ,Materials science ,Polydimethylsiloxane ,business.industry ,Wearable computer ,Elastomer ,chemistry.chemical_compound ,chemistry ,Elastic substrate ,Flexible display ,Optoelectronics ,Die (manufacturing) ,Electronics ,business - Abstract
In order to fabricate a wearable flexible display as a flexible hybrid electronic (FHE) device with micro-LED dies, we demonstrate multi-level metallization on an elastomer using die-first fan-out wafer-level packaging (FOWLP). The elastic substrate of this display is PDMS (polydimethylsiloxane) in which the array of 3-color micro-LEDs is embedded. In this study, we address serious issues such as die shift and stress accumulation in advanced FOWLP to integrate a self-luminescent flexible micro-LED display.
- Published
- 2021
- Full Text
- View/download PDF
20. Chiplet-Based Advanced Packaging Technology from 3D/TSV to FOWLP/FHE
- Author
-
Takafumi Fukushima
- Subjects
Wafer-scale integration ,Packaging engineering ,Computer science ,business.industry ,Embedded system ,System integration ,Electronics ,business - Abstract
More recently, "chiplets" are expected for further scaling the performance of LSI systems. However, system integration with the chiplets is not a new methodology. The basic concept dates back well over a few decades. The symbolic configuration of this concept based on the chiplets is 3D integration with TSV we have worked on since 1989. This paper introduces our 3D and heterogeneous system integration research from its historical activities to the latest efforts, including capillary self-assembly of tiny dies with a size of less than 0.1 mm and advanced flexible hybrid electronics (FHE) using fan-out wafer-level packaging (FOWLP).
- Published
- 2021
- Full Text
- View/download PDF
21. Novel Approach to Highly Robust Fine Pitch RDL Process
- Author
-
Jongho Lee, Jum-Yong Park, Un-Byoung Kang, Hyunsu Hwang, Chung-Sun Lee, Ju-Il Choi, Jeongi Jin, Gyuho Kang, Byungchan Kim, and Hyo-Jin Yun
- Subjects
Wafer-scale integration ,Materials science ,Fabrication ,Diffusion barrier ,business.industry ,Copper interconnect ,Optoelectronics ,Wafer ,Integrated circuit packaging ,business ,Chip ,Wafer-level packaging - Abstract
Fan-out Wafer Level Packaging (FOWLP) is expected to become an indispensable platform for integrating heterogeneous chips into advanced semiconductor packaging for next generation edge computing, automotive and others. In order to integrate multiple memory and logic chiplets, the line/space (L/S) design rule of the Cu redistribution line (RDL) will be scaled below $2/2\ \mu\mathrm{m}$ in order to accommodate the multiple chip configurations and high I/O count. While the current semi-additive process (SAP) used to manufacture the 1st generation of FOWLP technology has served the IT industry well, the absence of a physical diffusion barrier between the Cu and the polymer and the heavily undulated topology presents serious reliability and processing challenges. To overcome these limitations, this paper presents the first work published on fabrication of polymer damascene RDLs. RDLs were fabricated by dry-etching patterns into a polymer film, filled with electroplated Cu and planarized with CMP. The use of a Ti diffusion barrier prevents Cu migration into the polymer and the CMP process prevents any unwanted undulation that can adversely affect the line width uniformity. By developing a damascene integration scheme without the use of spin-on hardmasks and bottom anti-reflective coatings, we can keep the costs low without sacrificing the benefits of the damascene structure. Results show well defined RDLs with a robust Ti barrier limiting the diffusion of Cu into the polymer even after wafer level high temperature storage (HTS) testing. With the available technology, this integration scheme has the potential to extend the FOWLP design rule to well below $\mathrm{1}\ \mu\mathrm{m}$ .
- Published
- 2021
- Full Text
- View/download PDF
22. Flexible heterogeneously integrated low form factor wireless multi-channel surface electromyography (sEMG) device
- Author
-
Luke Macyszyn, Bilwaj Gaonkar, Arsalan Alam, M. S. Joseph, M. Molter, A. Kapoor, Samatha Benedict, and Subramanian S. Iyer
- Subjects
Wafer-scale integration ,medicine.diagnostic_test ,Computer science ,business.industry ,Wearable computer ,Electromyography ,law.invention ,Form factor (design) ,Bluetooth ,Data acquisition ,law ,medicine ,Wireless ,business ,Multi channel ,Biomedical engineering - Abstract
A elegant extremely flexible Fan-Out Wafer-Level Packaging (FOWLP) based fully integrated bipolar multi-channel (up to 12 channels) surface electromyography (sEMG) device and assembly is demonstrated for intraoperative neurological monitoring (IONM) for complex spine surgeries and post-op physiological monitoring. It includes twenty gold-capped vertically corrugated flexible dry copper electrodes, Bluetooth wireless data transfer, a multichannel sEMG data acquisition and processing dielet, rechargeable energy storage and an ergonomic reusable adhesive for ease of use. This completely biocompatible and wearable system is highly flexible, thin (1 mm), light weight (< 5 g) and can be used to monitor neuro-muscular activations for IONM as well as for general physiological monitoring.
- Published
- 2021
- Full Text
- View/download PDF
23. Study of Submicron Panel-Level Packaging in Mass-Production
- Author
-
Douglas Shelton, Hiromi Suda, Ken-ichiro Shinoda, Kenichiro Mori, Kosuke Urushihara, and Yoshio Goto
- Subjects
Image stitching ,Printed circuit board ,Wafer-scale integration ,Computer science ,Bandwidth (signal processing) ,Electronic engineering ,Production (computer science) ,Stepper ,Wafer-level packaging ,Die (integrated circuit) - Abstract
Heterogeneous Integration is evolving to acquire finer resolution and larger devices to leverage the advantages provided by More-than-Moore manufacturing and packaging technologies that can help maximize the efficiency and increase the bandwidth of high performance computing systems. Advanced Packaging with submicron Redistribution Layers (RDL) and large package sizes is one of solutions that can help enable complex Heterogeneous Integration designs for applications including Artificial Intelligence (AI), 5G communication and autonomous driving. For systems requiring large package sizes, Panel Level Packaging (PLP) can offer efficiency and cost advantages over Wafer Level Packaging (WLP). PLP however poses unique technical challenges including the requirement to realize uniform submicron patterning across the entire rectangular panel. To meet this challenge, Canon developed the first patterning exposure tool or stepper that is capable of submicron resolution on 500 mm panels. This new panel exposure tool is equipped with wide-field projection optics that offer a large $\mathrm{52}\ \text{mm}\times \mathrm{68}\ \text{mm}$ image field and 0.24 NA that is optimum for submicron resolution. The stepper also features a newly developed panel handling system for processing up to $\mathrm{515}\times \mathrm{515}\ \text{mm}$ panels. In this paper, we will report on evaluation results of fine patterning for a mass-production panel level packaging process using the new submicron resolution panel stepper. We will also discuss current fine-PLP status, challenges and solutions. One topic of our study is patterning uniformity. In 2020, we reported patterning uniformity improvement technology using a glass substrate. This study attempts to apply the technology described in 2020 to improve pattern uniformity on Copper Clad Laminate (CCL) substrates that are widely used in printed circuit board (PCB) manufacturing. In addition, we will report on results of studies of stitching capability and alignment accuracy on substrates with die placement error. Our study also explored warped panel handling including the development of a multi-vacuum line chucking system.
- Published
- 2021
- Full Text
- View/download PDF
24. Versatile laser release material development for chip-first and chip-last fan-out wafer-level packaging
- Author
-
Hsiang-Hung Chang, Ren-Shin Cheng, Jennifer See, Hsiang-En Ding, Wei-Lan Chiu, Lin Ang-Ying, Kuan-Neng Chen, Chao-Jung Chen, Yu-Min Lin, Baron Huang, Chia-Hsin Lee, Ou-Hsiang Lee, Tao-Chih Chang, Xiao Liu, and Sheng-Tsai Wu
- Subjects
Wafer-scale integration ,Laser ablation ,Materials science ,business.industry ,Molding (process) ,engineering.material ,Laser ,law.invention ,Coating ,law ,Transmittance ,engineering ,Optoelectronics ,Wafer ,business ,Wafer-level packaging - Abstract
Fan-out wafer-level packaging (FOWLP) has evolved from chip-scale packaging to be one of the enablers of heterogenous integration through chip-first or redistribution-layer (RDL)-first processes, which draw significant momentum in packaging industries to develop newer and better materials. Among all of the essential packaging materials currently being investigated, novel laser release materials are of particular interest because they are vital to the successful separation of reconstituted wafers and glass carriers. Thus, the thermal, chemical, and mechanical stability of the laser release material play a vital role for success in FOWLP integration. In this study, four laser release materials with UV-light absorbance were evaluated through experiments divided into two phases to select a champion material for chip-first and RDL-first FOWLP integration. Phase I consisted of the collection of material properties and characteristics including light transmittance, interaction with laser energy, thermal stability, adhesion, and melt rheology to determine compatibility with RDL-first and chip-first processes, respectively. In Phase II, all candidate laser release materials were introduced to a short-loop evaluation that started with a laser release material coating on 300-mm glass carrier with a coefficient of thermal extension (CTE) of 8 ppm/°C, followed by 50-nm titanium deposition, 100-nm copper deposition, and dielectric material coating before encapsulation with epoxy molding compound. Multiple 355-nm laser powers that created different diameters of effective ablation region inside the crater, were used to determine the sensitivity of each candidate material. Meanwhile, chips sized, were picked and placed on coated glass carriers with candidate laser release materials to evaluate the compatibility with the chip-first process. Finally, design of a die bonding experiment was used to summarize the optimal conditions of a laser release material, proved compatible with RDL-first in advance, for a chip-first process. Through the comprehensive material evaluation discussed in this study, optimal laser release materials for the RDL-first and chip-first processes are identified. Of particular interest are materials that possess both laser release properties and good adhesion, since their use in die bonding applications will enhance throughput and lower the cost of ownership for FOWLP.
- Published
- 2021
- Full Text
- View/download PDF
25. Investigation of Low Stress and Low Temperature SiN and SiCN PVD Films for Advanced Packaging Applications
- Author
-
Carazetti Patrick, Strolz Ewald, and F. Brun Xavier
- Subjects
Surface activated bonding ,Wafer-scale integration ,Materials science ,business.industry ,Physical vapor deposition ,Soldering ,Optoelectronics ,Wafer ,Dielectric ,business ,Wafer-level packaging ,Annealing (glass) - Abstract
Hybrid bonding or surface activated bonding is becoming a very attractive technology to manufacture higher performance CMOS by addressing pitch scaling difficulties of solder interconnects. One of the major challenges for dielectric bonding is to decrease the process temperature to be compatible with CMOS processing. Many investigations have demonstrated low bonding temperatures (annealing temperatures below 250°C) with either SiCN or SiN. In this paper, we focus on the dielectric layer deposition process. Both low temperature physical vapor deposition (PVD) of SiN and SiCN are investigated side by side. Film stress as function of film thickness is characterized to understand its potential impact on wafer warpage. Reliability of low temperature SiN film is tested on both inorganic and organic surfaces so it can be leveraged in a wide set of wafer level packaging applications.
- Published
- 2021
- Full Text
- View/download PDF
26. Novel 2.5D RDL Interposer Packaging: A Key Enabler for the New Era of Heterogenous Chip Integration
- Author
-
Jeon Gwangjae, Seok Hyun Lee, Ju-Il Choi, Won Kyoung Choi, Kyoung Lim Suk, Hyo Jin Yun, Sukhyun Jung, Jae Gwon Jang, Jongpa Hong, Dae-Woo Kim, Ju-Yeon Choi, Wonjae Lee, and Min Jung Kim
- Subjects
Wafer-scale integration ,Packaging engineering ,Computer science ,business.industry ,Fan-out ,Chip ,Reliability (semiconductor) ,visual_art ,Embedded system ,Electronic component ,visual_art.visual_art_medium ,Interposer ,business ,Wafer-level packaging - Abstract
Advances in the high performance computing (HPC) lead to a new frontier of the fan out wafer level packaging (FOWLP) development. To provide a solution of cost-attractive package for heterogeneous chip integration, FOWLP has recently emerged as an indispensable platform. Herein, we propose novel 2.5D re-distribution layer (RDL) interposer packaging technology including the fabrication of fine-pitch RDL interposer (>560 mm2) assembled with one high-bandwidth memory (HBM) and two ASICs, in order to achieve the TSV-less and cost-effective package. The intrinsic features of the fine-pitch RDL interposer enhances the integrity of the signals and the reliability of the bump joints, and thus integrates multiple chips and accommodates higher I/O counts. With the fine-pitch 2.5D RDL interposer technology, the system-in-package is fabricated in order to substantiate the functions of the HBM, and tested to analyze the characteristics of its performance. The fine-pitch 2.5D RDL interposer package demonstrates up to 3.2Gbps/pin operation with the HBM, and also shows excellent reliability without any failure during the reliability tests (TC1000hr, b-HAST 264hr, u-HAST 264hr and HTS1000hr). The proposed 2.5D RDL interposer technology can be a promising solution for the cost-effective and large size 2.5D packaging in the HPC applications.
- Published
- 2021
- Full Text
- View/download PDF
27. Die Embedding Challenges for EMIB Advanced Packaging Technology
- Author
-
Rahul N. Manepalli, Robin McRee, Bai Nie, Kanaoka Yosuke, and Duan Gang
- Subjects
Interconnection ,Wafer-scale integration ,Packaging engineering ,business.industry ,Computer science ,Hardware_INTEGRATEDCIRCUITS ,Electrical engineering ,Dice ,Substrate (printing) ,business ,Chip ,Wafer-level packaging ,Die (integrated circuit) - Abstract
Intel's Embedded Multi-die Interconnect Bridge (EMIB) technology is an advanced, cost-effective approach to in-package high density interconnects of heterogeneous chips, providing high density I/O, and controlled electrical interconnect paths between multiple dice in a package. This technology uses local silicon bridges to host ultrafine line / space structures for die-to-die interconnect communications and opens avenues for heterogeneous chip integration applications. In EMIB package architecture, a silicon bridge die is embedded into an organic substrate, encapsulated with dielectric materials, and connected to external layers of package substrate through semi additive substrate build-up processes at the panel level. Many bridge dice can be embedded as part of the high-density interconnect package substrate fabrication process. Afterwards, logic or heterogeneous dice (Chiplets of various nodes / sources, HBMs, IO tiles, etc.) are bonded to EMIB substrates through assembly process, with EMIB bridges serving as a high-bandwidth, low-latency, and low-power solution for die-to-die communications, thereby enabling a low-cost, highperformance in-package heterogeneous chip integration solution. Simply put, EMIB employs a silicon piece that hosts ultrafine line / space structures, fabricated with silicon far-backend technology, but out of Intel's high-density interconnect package substrate manufacturing infrastructures and capabilities. One of the key elements of EMIB advanced packaging technology is to embed the EMIB bridge dice reliably during the substrate fabrication process. As such, an overview of the general technical challenges associated with panel level EMIB die embedding will be presented in this paper as compared to the industry standard wafer level packaging (WLP) die embedding process.
- Published
- 2021
- Full Text
- View/download PDF
28. Sensitivity of the structural behavior of SAC305 interconnects on the variations of creep parameters
- Author
-
Viktor Gonda and Ramiro S Vargas C
- Subjects
Materials science ,Wafer-scale integration ,Creep ,business.industry ,Soldering ,Microelectronics ,Composite material ,Material properties ,business ,Wafer-level packaging ,Joint (geology) ,Eutectic system - Abstract
Lead-free soldering materials have been of great interest in the field of advanced microelectronic packaging during the last decade. Compared to the eutectic tin-lead solder (Sn-Pb), the increased melting temperature influences mechanical properties that can compromise the integrity of the solder joint in a long period of working time. In the present work, a two-dimensional (2-D) Fan out Wafer Level Packaging structure was modelled with SAC305 for the soldering material. Anand creep model was utilized for the solder balls using different solder interconnect material properties determined for SAC305 taken from different literature sources. The 2-D model of the package was subjected to a cyclic thermo-mechanical load. The primary outcomes of creep behavior were studied using implicit visco-plastic analysis for the solder. Equivalent of Creep Strain (ECS), and Total Equivalent of Creep Strain (TECS) history showed different results for the various parameter sets of the same solder. Since SAC305 is one of the most widely used lead-free soldering materials, for simulation purposes, researchers must rely on the material properties obtained from experimental results. In this study, a more general view is presented regarding SAC305 visco-plastic properties.
- Published
- 2021
- Full Text
- View/download PDF
29. Development of Au/Pt/Ti multilayers for wafer-level packaging and residual gas gettering
- Author
-
Eiji Higurashi, S. Kariya, Hideki Takagi, Y. Kurashima, Masanori Hayase, and Takashi Matsumae
- Subjects
Atomic layer deposition ,Wafer-scale integration ,Materials science ,Annealing (metallurgy) ,Getter ,Direct bonding ,Vacuum packing ,Composite material ,Wafer-level packaging ,Layer (electronics) - Abstract
We demonstrated that Au/Pt/Ti (from top to bottom) metal multilayers enables wafer-level packaging of degassed assemblies and residual gas gettering. A hermetic sealing was fabricated by Au surfaces after degassing annealing at 200°C. In addition, the Ti underlayer atoms diffused to the internal surface by annealing at 450°C, enabling the gas in the package. As the Au/Pt/Ti layers have the functions of the bonding layer and gas getter, the proposed packaging technique can potentially simplify a vacuum sealing process.
- Published
- 2021
- Full Text
- View/download PDF
30. 0.8/2.2-GHz Programmable Active Bandpass Filters in InP/Si BiCMOS Technology.
- Author
-
Xu, Zhiwei, Winklea, Deborah, Oh, Thomas C., Kim, Samuel, Chen, Steven T. W., Royter, Yakov, Lau, Maggy, Valles, Irma, Hitko, Donald A., Li, James C., and Gu, Q. Jane
- Subjects
- *
BANDPASS filters , *CMOS integrated circuits , *ELECTRIC properties of indium phosphide , *BISMUTH , *DIGITAL-to-analog converters , *HETEROJUNCTION bipolar transistors , *WAFER-scale integration of circuits - Abstract
Programmable active bandpass filters (BPFs) have been designed in a chip-scale heterogeneous integration technology, which intimately integrates InP HBTs on a deep scaled CMOS technology. Therefore, the active BPF can leverage both high performance of InP HBT and high density and programmability of CMOS. Two BPF prototypes, consisting of a programmable gain amplifier (PGA), a fifth- or third-order BPF core, and a buffer, have been designed and fabricated. The BPF center frequency can be switched from 0.8 to 2.2 GHz with 150-MHz passband and delivers >\55-dB out-of-band (OOB) rejection for the fifth-order one. Four gain steps: 0, 6, 12, and 16 dB, are enabled by the front PGA to trade off noise and linearity performances. Due to the > \300-GHz f T of InP HBTs, the BPF cores can leverage active-RC architecture for high linearity owing to the close-loop implementation. The fifth-order BPF prototype occupies a 1.5\,\times\,1.02 mm^2 area together with pads and draws 106/121 mA from a 3.3-V power supply for 0.8/2.2-GHz bands, respectively, which demonstrates OOB output third-order intercept points (OIP3s) of 22.69/21.25 dBm for 0.8/2.2-GHz bands at the high gain mode. The measurement results suggest the fifth-order BPF core achieves 36.69/35.25-dBm OOB OIP3s. In addition, the designed third-order programmable BPF has been successfully used as a technology yield vehicle to assist the BiCMOS technology development. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
31. Wafer-scale integrated micro-supercapacitors on an ultrathin and highly flexible biomedical platform.
- Author
-
Maeng, Jimin, Meng, Chuizhou, and Irazoqui, Pedro
- Abstract
We present wafer-scale integrated micro-supercapacitors on an ultrathin and highly flexible parylene platform, as progress toward sustainably powering biomedical microsystems suitable for implantable and wearable applications. All-solid-state, low-profile (<30 μm), and high-density (up to ~500 μF/mm) micro-supercapacitors are formed on an ultrathin (~20 μm) freestanding parylene film by a wafer-scale parylene packaging process in combination with a polyaniline (PANI) nanowire growth technique assisted by surface plasma treatment. These micro-supercapacitors are highly flexible and shown to be resilient toward flexural stress. Further, direct integration of micro-supercapacitors into a radio frequency (RF) rectifying circuit is achieved on a single parylene platform, yielding a complete RF energy harvesting microsystem. The system discharging rate is shown to improve by ~17 times in the presence of the integrated micro-supercapacitors. This result suggests that the integrated micro-supercapacitor technology described herein is a promising strategy for sustainably powering biomedical microsystems dedicated to implantable and wearable applications. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
32. Wafer-scale integration of antimonide-based MWIR FPAs
- Author
-
Allen Hollingsworth, Binh-Minh Nguyen, Terry De Lyon, William Z. Korth, Choukri Allali, Alex Gurga, Diego E. Carrasco, Shuoqin Wang, Mary Chen, Sevag Terterian, John Caulfield, Jon Paul Curzan, Yan Tang, J. Jenkins, and Nishant Dhawan
- Subjects
Cost reduction ,Wafer-scale integration ,Operating temperature ,law ,Computer science ,Scalability ,Detector ,Electronic engineering ,Wafer ,Integrated circuit ,Large format ,law.invention - Abstract
High performance infrared focal plane arrays (FPAs) play a critical role in a wide range of imaging applications. However the high cost associated with the required cooling and serially processed die-level hybridization is major barrier that has thwarted Mid-wavelength Infrared (MWIR) detector technology from penetrating largevolume, low-cost markets. Under the Defense Advanced Research Projects Agency (DARPA) WIRED program, the HRL team has developed a wafer level integration schemes to fabricate large format Antimonidebased MWIR FPAs on Si Read Out Integrated Circuit (ROIC) as a means to achieve significant fab cost reduction and enhanced production scalability. The DARPA-hard challenge we are addressing is the thermal and stress management in the integration of two dissimilar materials to avoid detector and ROIC degradation and to maintain structure integrity at the wafer scale. In addition, a digital ROIC with extremely large well capacity was designed and taped-out, in order to increase the operating temperature of the FPAs. In this talk, we discuss our progress under the DARPA WIRED program.
- Published
- 2021
- Full Text
- View/download PDF
33. Microprocessor Processes and Devices in Post Exascale Computing Era
- Author
-
Wang Di and LI Hong-Liang
- Subjects
010302 applied physics ,Wafer-scale integration ,business.industry ,Computer science ,Interface (computing) ,Transistor ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Exascale computing ,Resistive random-access memory ,law.invention ,Carbon nanotube field-effect transistor ,Phase-change memory ,Microprocessor ,Hardware_GENERAL ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0210 nano-technology ,business ,Hardware_LOGICDESIGN - Abstract
The physical limit of traditional CMOS process is approaching, and the progress of processes and devices has become the key problem to the development of microprocessor technology in the post exascale computing era. In this paper, the traditional CMOS process, packaging process and new principle devices are prospected, and the current situation and development prospect of various processes and devices are analyzed. Firstly, the development trend of CMOS process in transistor structure, integration and power consumption is analyzed; Secondly, 3D packaging, 2.5D packaging, chiplet, thruchip interface and wafer scale integration technologies are analyzed; Finally, the negative capacitance transistors, tunneling transistors, two-dimensional semiconductor transistors, carbon nanotube transistors and compute-in-memory technologies using phase change memory and resistive memory are analyzed.
- Published
- 2021
- Full Text
- View/download PDF
34. A Novel Multifunctional Single-Layer Adhesive Used for both Temporary Bonding and Mechanical Debonding in Wafer-Level Packaging Applications
- Author
-
Xiao Liu, Rama Puligadda, Dongshun Bai, Wenkai Cheng, Debbie Blumenshine, and Yubao Wang
- Subjects
Materials science ,Wafer-scale integration ,Wafer ,Adhesive ,Edge (geometry) ,Composite material ,Blank ,Layer (electronics) ,Wafer-level packaging ,Single layer - Abstract
Temporary bonding (TB) and debonding (DB) of wafers have been widely developed and applied over the last decade in various wafer-level packaging technologies, such as package-on-package (PoP), fan-out integration, and 2.5D and 3D integration using through-silicon vias (TSVs). The materials used to achieve TB and DB are extremely critical and the industry's current best practice is the use of two layers of materials (bonding layer and release layer). In this paper, a novel single-layer adhesive is presented to possess both functions of TB with mechanical DB. The properties of this material empower the capabilities of handling ultrathin wafers, supporting very low warpage for high-stress substrates, and surviving high-temperature processing. Testing on a blank silicon wafer thinned down to 20 µm showed neither defects nor edge chipping. In addition, a thermal simulation of 250°C for 30 minutes also passed qualification. Less than 30 µm warpage on an 8” wafer was also observed, which proves the material is friendly to high-stress substrates. More importantly, using fewer layers means fewer total processes, fewer cleaning steps, and lower cost of ownership.
- Published
- 2021
- Full Text
- View/download PDF
35. Embedded wafer level ball grid array (eWLB) technology for high-frequency system-in-package applications.
- Author
-
Wojnowski, Maciej and Pressel, Klaus
- Abstract
The embedded wafer level ball grid array (eWLB) is a novel system integration platform introduced recently. The eWLB technology is an attractive solution for high-frequency system-in-package (SiP) integration due to the capability to design high-quality (high-Q) embedded passives in the fan-out region and side-by-side multichip integration possibilities. In this paper, we show examples of using the fan-out region and the thin-film redistribution layer (RDL) advantageous for integration of inductors and antennas into an eWLB package. In addition, the use of the through encapsulant via (TEV) technology can extend the integration capabilities to 3D. We present measurement and simulation results of vertical interconnections realized using the RDL and TEVs of the eWLB. We demonstrate that the fan-out area of the eWLB can be used for the design of passive devices using the combination of TEV and RDL structures. We show examples of 3D inductors and transformers integrated in the eWLB. We present a fully integrated single-chip 60-GHz transceiver integrated in the eWLB package together with two dipole antennas as an example of mm-wave system integration. [ABSTRACT FROM PUBLISHER]
- Published
- 2013
- Full Text
- View/download PDF
36. Reward-based learning under hardware constraints - Using a RISC processor embedded in a neuromorphic substrate
- Author
-
Simon eFriedmann, Nicolas eFrémaux, Johannes eSchemmel, Wulfram eGerstner, and Karlheinz eMeier
- Subjects
reinforcement learning ,neuromorphic hardware ,spike-timing dependent plasticity ,wafer-scale integration ,large-scale spiking neural net- works ,hardware constraints analysis ,Neurosciences. Biological psychiatry. Neuropsychiatry ,RC321-571 - Abstract
In this study, we propose and analyze in simulations a new, highly flexible method of imple-menting synaptic plasticity in a wafer-scale, accelerated neuromorphic hardware system. Thestudy focuses on globally modulated STDP, as a special use-case of this method. Flexibility isachieved by embedding a general-purpose processor dedicated to plasticity into the wafer. Toevaluate the suitability of the proposed system, we use a reward modulated STDP rule in a spiketrain learning task. A single layer of neurons is trained to fire at specific points in time withonly the reward as feedback. This model is simulated to measure its performance, i.e. the in-crease in received reward after learning. Using this performance as baseline, we then simulatethe model with various constraints imposed by the proposed implementation and compare theperformance. The simulated constraints include discretized synaptic weights, a restricted inter-face between analog synapses and embedded processor, and mismatch of analog circuits. Wefind that probabilistic updates can increase the performance of low-resolution weights, a simpleinterface between analog synapses and processor is sufficient for learning, and performance isinsensitive to mismatch. Further, we consider communication latency between wafer and theconventional control computer system that is simulating the environment. This latency increasesthe delay, with which the reward is sent to the embedded processor. Because of the time continu-ous operation of the analog synapses, delay can cause a deviation of the updates as compared tothe not delayed situation. We find that for highly accelerated systems latency has to be kept to aminimum. This study demonstrates the suitability of the proposed implementation to emulatethe selected reward modulated STDP learning rule. It is therefore an ideal candidate for imple-mentation in an upgraded version of the wafer-scale system developed within the BrainScaleSproject.
- Published
- 2013
- Full Text
- View/download PDF
37. High Quality Integrated Inductor in Fan-out Wafer-Level Packaging Technology for mm-Wave Applications
- Author
-
Saquib Bin Halim, Jean Trewhella, Ivan Ndip, Mykola Chernobryvko, Christian Goetze, Marcel Wieland, Klaus Dieter Lang, Marco Rossi, Mathias Boettcher, Kavin Senthil Murugesan, and Sherko Zinal
- Subjects
Materials science ,Wafer-scale integration ,business.industry ,020208 electrical & electronic engineering ,Semiconductor device modeling ,Fan-out ,020206 networking & telecommunications ,02 engineering and technology ,Inductor ,Inductance ,Q factor ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Redistribution layer ,business ,Wafer-level packaging - Abstract
In this paper, a multilayered integrated inductor in Fan-out Wafer Level Packaging (FoWLP) technology is investigated. The inductor is designed on polyimide for integration into the redistribution layer of the package. Excellent correlation is obtained between simulation and measurement results. The fabricated inductor has an inductance of approximately 480 pH and a quality factor of approximately 27 at 11 GHz.
- Published
- 2021
- Full Text
- View/download PDF
38. A New FOWLP Platform for Hybrid Optical Packaging - Demonstration on 100Gbps Transceiver
- Author
-
David Dongwoo Park, Sukyoon Oh, Seungman Han, Yoonyoung Bae, Seong-Wook Choi, and Young June Park
- Subjects
Optical fiber ,Wafer-scale integration ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Fan-out ,law.invention ,Small form factor ,Semiconductor ,chemistry ,law ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Transceiver ,business ,Wafer-level packaging - Abstract
The Fan Out Wafer Level Packaging, widely used in the silicon semiconductor system, is introduced for all-in-one hybrid optical package with small form factor, potentially higher performance, and expendability to on-board/co-packaged optical interconnections. To prove the new packaging idea, 100GBASE-SR4 standard is targeted in this demonstration.
- Published
- 2021
- Full Text
- View/download PDF
39. Wafer-scale integration of graphene for waveguide-integrated optoelectronics
- Author
-
Mišeikis, Vaidotas and Coletti, Camilla
- Subjects
Wafer-scale integration ,Physics and Astronomy (miscellaneous) ,Graphene ,Computer science ,business.industry ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,7. Clean energy ,0104 chemical sciences ,law.invention ,law ,Scalability ,Fundamental physics ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,0210 nano-technology ,business ,Waveguide - Abstract
As the focus of graphene research shifts from fundamental physics to applications, the scalability and reproducibility of experimental results become ever more important. Graphene has been proposed as an enabling material for the continuing growth of the telecommunications industry due to its applications in optoelectronics; however, the extent of its adoption will depend on the possibility to maintain the high intrinsic quality of graphene when processing it using the industry-standard approaches. We look at the challenges of scalable graphene integration and the opportunities presented by the recent technological advances.
- Published
- 2021
- Full Text
- View/download PDF
40. Wafer-scale integration of double gated WS2-transistors in 300mm Si CMOS fab
- Author
-
E. Dupuy, Steven Brems, Devin Verreck, P. Morin, Cedric Huyghebaert, Goutham Arutchelvan, D. Radisic, Alain Phommahaxay, A. Thiam, Abhinav Gaur, Tom Schram, Matty Caymax, Koen Kennes, Katia Devriendt, Quentin Smets, W. Li, Inge Asselberghs, Thibaut Maurice, Iuliana Radu, Aryan Afzalian, Benjamin Groven, J-F de Marneffe, D. Lin, and Daire J. Cott
- Subjects
Wafer-scale integration ,Materials science ,Silicon ,business.industry ,Transistor ,chemistry.chemical_element ,law.invention ,CMOS ,chemistry ,law ,Logic gate ,Optoelectronics ,Wafer ,business ,TO-18 ,Communication channel - Abstract
Double gated WS 2 transistors with gate length down to 18 nm are fabricated in a 300mm Si CMOS fab. By using large statistical data sets and mapping uniformity on full 300mm wafer, we built an integration vehicle where impact of each process step can be understood and developed accordingly to enhance device performance. In-depth analysis of V T variability reveals multiple possible sources at different length scales, with the most prominent one being the channel material. The work presented here paves the way towards industrial adoption of 2D materials.
- Published
- 2020
- Full Text
- View/download PDF
41. Development of RDL-1stFan-Out Panel-Level Packaging (FO-PLP) on $550\text{mm}\times 650\text{mm}$ size panels
- Author
-
Kazunori Yamamoto, Vempati Srinivasa Rao, Ser Choong Chong, and Sharon Lim Seow Huang
- Subjects
education.field_of_study ,business.product_category ,Materials science ,Wafer-scale integration ,Population ,Electronic packaging ,Order (ring theory) ,Manufacturing cost ,Substrate (building) ,Die (manufacturing) ,Wafer ,Composite material ,business ,education - Abstract
Industry is constantly looking at ways to reduce the cost of manufacturing. One of the common cost reduction methods is to increase the size of the substrate. The wafer size was increased previously from 6″ to 8″ and then from 8″ to 12″ to increase the number of dies per wafer. The increase in die population per wafer improves the throughput of the processes and hence, reduces the manufacturing cost. Industry is looking towards moving from round wafer to square or rectangle panel in order to further increase the number of dies per substrate. The panel size evaluated in this work is of dimension. The die size usedis with up to 4000 solder bumps. We have developed the RDL 1st Fab-Out Panel-Level Packaging on size panel. The panel size evaluated in this work is of $550\text{mm}\times 650\text{mm}$ dimension. The die size usedis $10\text{mm}\times 10\text{mm}\times 0.7\text{mm}$ with up to 4000 solder bumps. We have developed the RDL 1st Fab-Out Panel-Level Packaging on $550\text{mm}\times 650\text{mm}$ size panel.
- Published
- 2020
- Full Text
- View/download PDF
42. Development of wafer level solderball placement process for RDL-first FOWLP
- Author
-
Norhanani Binte Jaafar, Tai Chong Chai, Ser Choong Chong, Sharon Pei Siang Lim, and Sharon Seow Huang Lim
- Subjects
Wafer-scale integration ,Packaging engineering ,business.industry ,Computer science ,Electronic packaging ,Mechanical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,Chip ,law.invention ,law ,visual_art ,Package on package ,Electronic component ,Hardware_INTEGRATEDCIRCUITS ,visual_art.visual_art_medium ,Wafer ,business - Abstract
The Fan-out wafer-level packaging technology is an integrated circuit technology as well as an enhancement of standard wafer-level packaging (WLP) solutions. This technology is an attractive packaging approach for mobile applications and heterogeneous integration. It allows better electrical performance, low form factor and at relatively low cost as compared to wafer to wafer stacking or 3D stacked bonding. Furthermore, as the industry moving towards higher density and higher-bandwidth chip to chip interconnections, the application of Package on Package technology offers a solution for applications processors and mobile applications with better thermal and electrical performance. One of the significant advantages of package-on-package FOWLP is the ability of stacking 2 different packages to achieve multi-functionality. However, the overall package has to maintain a low profile for thin portable applications. The work in this paper focus on the development and process of wafer level solderball placement on a molded wafer using RDL-first FOWLP process. The Fanout molded wafer is 0.47mm thick and the package size is after singulation. The work in this paper focus on the development and process of wafer level solderball placement on a molded wafer using RDL-first FOWLP process. The Fanout molded wafer is 0.47mm thick and the package size is $15 \times 15\ \mathbf{mm}^{2}$ after singulation. Detailed process parameters on flux printing process parameters such as the printing speed and printing gap coupled with ball placement speed, ball dispense gap and ball head moving direction needs to be evaluated to achieve robust wafer level solderball placement process with good flux printing and good ball placement process yield.
- Published
- 2020
- Full Text
- View/download PDF
43. New X-Ray Tubes for Wafer Level Inspection
- Author
-
B Eng and Keith Bryant
- Subjects
Wafer-scale integration ,Materials science ,law ,Semiconductor device fabrication ,Wafer ,Siemens star ,Electronics ,Tube (container) ,Wafer-level packaging ,Image resolution ,Engineering physics ,law.invention - Abstract
Today's consumers are looking for powerful, multifunctional electronic devices with unprecedented performance and speed, yet small, thin and low cost. This creates complex technology and manufacturing challenges for semiconductor companies as they look for new ways to achieve greater performance and functionality in a small, thin, low cost device. JCET is an industry leader in Wafer Level Packaging (WLP) technology, providing a comprehensive portfolio of WLP solutions including Fan-in Wafer Level Packaging (FIWLP), Fan-out Wafer Level Packaging (FOWLP) and Integrated Passive Devices (IPD This paper shares data and results from our labs and those of our technology partners which come from two recent tube technology advances, both show great potential for providing solutions to the issues of imaging small features quickly, faced in the higher end semiconductor manufacturing industry. Metal Jet Technology, in metal jet anode microfocus xray tubes the traditional solid metal anode is replaced with a jet of liquid metal, which acts as the electron-beam target, The metal jet supports higher electron-beam power and can therefore generate higher X-ray flux. The major benefit of the increased power density level for the metal-jet X-ray tube is the possibility to operate with a smaller focal spot, say 5 ?m, to increase image resolution and at the same time acquire the image faster, since the power is 10x higher for same spot size. Which means that a 5μm spot on a Metal Jet can tolerate approximately 5x higher power compared to a 10μm spot on a tube with a traditional solid filament This technology delivers one of the smallest and most intensive X-ray beams of any Xray source to meet the ever-increasing technology demands, including wafer level package inspection. Nano Tube Technology This enables industry-leading resolution in geometric magnification, the Nano tube is based on advanced electron optics and the latest tungsten-diamond transmission target technology. Automatic e-beam focusing, and astigmatism correction ensures that the smallest possible, truly round spot is achieved. The Nano tube also has the unique feature of internally measuring and reporting the current spot size. In addition, advanced cooling and thermal design results in extreme stability over time. This enables an unprecedented true resolution of 150 nm lines and spaces. The true round spot of the tube is demonstrated by the highly symmetric images of a ‘Siemens star’ resolution target, the innermost features are 150 nm
- Published
- 2020
- Full Text
- View/download PDF
44. Emerging Process and Assembly Challenges in Electronics Manufacturing
- Author
-
Glenn Farris
- Subjects
Power management ,Interconnection ,Memory management ,Wafer-scale integration ,Computer science ,Process (engineering) ,5G ,Edge computing ,Manufacturing engineering ,Die (integrated circuit) - Abstract
Semiconductor and Semi equipment industries expect to see a strong upturn in the next few years, with advanced packaging technologies a significant beneficiary of the markets strength. 5G, AI, Edge Computing, Persistent Memory, Integrated Power Management, and the transition to sub 5nm silicon technology are all driving the need for innovative packaging solutions. These solutions integrate silicon produced with disparate process nodes and deliver maximum performance at optimal cost. Heterogeneous Integration, utilizing a multitude of interconnect methodologies (from Fan-out to Silicon Interposer, to Chiplet), addresses this challenge but requires unique solutions for efficient, cost effective die placement. High speed, high precision multi-die placement, directly and efficiently extracted from a range of different sized wafers, is critical to enable cost effective assembly. This paper looks at the challenges and potential approaches for efficient and cost effective solutions.
- Published
- 2020
- Full Text
- View/download PDF
45. Submicron Lithography Enabling Panel Based Heterogeneous Integration
- Author
-
Doug Shelton, Hiroyuki Wada, Hiromi Suda, Kenichiro Mori, Seiya Miura, Hideo Tanaka, and Yoshio Goto
- Subjects
Image stitching ,Wafer-scale integration ,Resist ,Computer science ,Flatness (systems theory) ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,Electronic engineering ,Interposer ,Lithography ,Wafer-level packaging ,Die (integrated circuit) - Abstract
High-Performance Computing (HPC) systems increasingly adopt Heterogeneous Integration (HI) technologies that utilize large substrates and high-resolution processes to facilitate die and chiplet. Fan-Out Wafer Level Packaging (FOWLP) and silicon interposers using high-density Redistribution Layers (RDL) can help maximize bandwidth and performance but HI roadmaps require improvements in resolution and lower costs to enable wide adoption of these More-than-Moore technologies. Panel based processes can provide cost advantages compared to wafer processes for fabrication of large interposers and Fan-Out packages. Panel based SiP processes however demand submicron resolution over a large field size and uniform exposure across large panels. To meet these challenges, Canon developed the first lithography exposure system capable of achieving submicron resolution on large panels. The new panel exposure tool targets 0.8 μm design rules and utilizes a new panel handling system and stage that allows processing of panels as large as 515 × 515 mm. The new panel exposure tool is equipped with a UL82 wide-field projection lens with a maximum Numerical Aperture (NA) of 0.24 and offers a 52 × 68 mm exposure field for large device fabrication without stitching adjacent shots. Fine-RDL lithography systems must provide a large Depth-of-Focus (DoF) to maintain pattern fidelity to maximize DoF, the new panel exposure tool applies die-by-die focus and tilt compensation and functions to compensate for panel warpage. Process factors related to DoF include panel flatness and photoresist materials and film uniformity. This paper details test results from a panel exposure system that confirms the feasibility and advantages of submicron panel processes. We also introduce additional challenges related to panel processes including slit-coater uniformity, photo resist materials and panel flatness. We will present data illustrating that new panel exposure tool can provide excellent resolution across large exposure fields on panels to enable HI innovation.
- Published
- 2020
- Full Text
- View/download PDF
46. Non-Surface Contact Approach for Device Flip
- Author
-
Sarah Parrish
- Subjects
Microelectromechanical systems ,business.product_category ,Wafer-scale integration ,Computer science ,Mechanical engineering ,Die (manufacturing) ,SMT placement equipment ,Wafer ,Edge (geometry) ,Chip ,business ,Flip chip - Abstract
What approaches are available for the flip of devices prior to die bond when the top wafer surface cannot be touched? Conventional 180 degree flip on a chip by chip basis during the die sort process requires rubber vacuum pick-up tools to touch both the top and bottom surfaces of device during the required transfers from one pick-up tool to another. However, for a growing number of applications ranging from medical devices, imaging sensors, MEMS, and bumped die used in flip chip applications, touching the sensitive top surface of a device is not desirable, while the need to flip the device remains. Factors to be evaluated in seeking a successful invert process without top surface contact include throughput, wear resistance of tooling, device and tooling material properties, and risk for top surface damage (yield). A pick and place process using an edge gripper instead of vacuum pick-up tip allowed for no contact of device surface but did not provide a robust device flip process due to imprecise device positioning. However, a non-surface contact approach to protect surface features was able to be achieved by using a radius/channel style pick-up tool to pick the die from the wafer and deposit onto a die inverter arm with vacuum surface contact pick-up tool to hold the device in place from the bottom with vacuum. This arm then rotated 180 degrees to place the device into a second radius/channel style pick-up tool, with the tool touching two of the top edges only. Based on the radius design of the channel style tools, the die protruded past the face of the tool, allowing it to be repicked by the main pick-up tool and then subsequently placed to the output. This non-contact approach was found to successfully protect the device surface during the flip. Future research will focus on improving precision of edge grippers so they may also be a potential solution, as well as the testing of the radius/channel pick-up tip approach for applicability with thin devices.
- Published
- 2020
- Full Text
- View/download PDF
47. Design Process & Methodology for Achieving High-Volume Production Quality for FOWLP Packaging
- Author
-
John Ferguson and Keith Felton
- Subjects
Wafer-scale integration ,Computer science ,Design flow ,Volume (computing) ,Production (economics) ,Design process ,Support system ,Transistor scaling ,Manufacturing engineering ,Production quality - Abstract
With the economics of transistor scaling no longer universally applicable, the semiconductor industry is turning to innovative packaging technologies to support system scaling and functionality demands while achieving lower system cost. However, high-density packages such as fan-out wafer-level packaging (FOWLP) bring design challenges that traditional organic laminate processes and design tools struggle with and often fail to satisfy. In this paper, we address the challenges that FOWLP and similar high-density advanced packaging (HDAP) technologies bring to designers, outsourced semiconductor assembly and test (OSAT) suppliers, and foundries, and explain why traditional design processes, flows and even design tools struggle and often fail to achieve high-volume production. We discuss the innovative processes and design techniques that must be adopted not just to comply with design requirements, but to do so in a reliable, productive way to achieve high-quality results that meet manufacturing volume yield expectations. We discuss the processes and design flow Mentor developed through partnerships with leading foundries and OSATs, and how they are being used to drive high-volume production.
- Published
- 2020
- Full Text
- View/download PDF
48. Low -Warpage Encapsulants for Wafer Level Packaging
- Author
-
Kail Shim, Rong Zhang, David Grimes, Yijia Ma, Jay Chao, Ramachandran K. Trichur, and Tu Do
- Subjects
Wafer-scale integration ,Reliability (semiconductor) ,Stencil printing ,business.industry ,visual_art ,Process (computing) ,visual_art.visual_art_medium ,Compression molding ,Wafer ,Epoxy ,Process engineering ,business ,Wafer-level packaging - Abstract
Wafer level encapsulation has become increasingly important to build up components for mobile and high-performance computing applications. Ranging from system-in-package and antenna modules to high band-width memory device, many of those wafer-level applications demand new features from encapsulant materials. Besides to provide mechanical protection, new wafer-level encapsulants are preferred to bring in extra features: a) reducing package warpage during wafer-level processing; b) being EU REACH compliant, c) showing excellent flowability for trench-fill or gap-fill. In our new material development, these new features can be achieved in a new type of filled epoxy system. The new class of encapsulants maintains high glass transition temperature (Tg), at the same level of typical semiconductor encapsulants, while demonstrating low-warpage during the wafer-level process, an estimation of more than 50% improvement from typical encapsulants. Owing to the use of fine fillers and new resin chemistry, fine gap-filling is possible. Combination of low-warpage and good flowability allows us to serve better in wafer-level applications. Some case studies will be discussed, including: 1) using liquid compression molding (LCM) process to encapsulate the wafers that have built-in trench-gaps, fine-gaps, or solder-bumps. 2) using stencil printing process to encapsulate trenched wafers. In both process routes, low-warpage, void-free gap-fill can be achieved from the packages. Moreover, the encapsulated test-vehicles passed JEDEC MSL-1 reliability conditions. The results demonstrated that this new wafer-level encapsulants have the potential to meet the growing demands from various wafer-level applications.
- Published
- 2020
- Full Text
- View/download PDF
49. Fan-Out Wafer-Level Packaging Advanced Manufacturing Solution for Fan-Out WLP/PLP by DFD (Die Face Down) Compression Mold
- Author
-
Yuichi Kajikawa
- Subjects
Wafer-scale integration ,Computer science ,Mechanical engineering ,Compression molding ,Low pressure molding ,Redistribution layer ,Molding (process) ,Wafer-level packaging ,Flip chip ,Die (integrated circuit) - Abstract
Compression molding was developed and introduced by Towa in the early 2000s.Over the years, TOWA has continued to improve and enhance the performance and quality of compression molding and, as a result, it has been adopted for complex packaging solutions, especially those requiring low pressure or very thin molded packages. The ever-increasing demand for integration of different technologies and smaller and thinner footprint continues to march forward. These demands challenge mold compression technology to be further expanded and innovated to address even more complicated packaging requirements such as multi-die in large panel format. In parallel, quality and reliability while meeting these challenges for FOWLP in large panel are also considered in this paper. At present, FOWLP has been targeted for high-end products such as High-Performance Computing (HPC)/ Artificial Intelligence (AI) products using RDL-first / high-precision flip chip bonding process with thinning lines and increasing number of IOs. Furthermore, FO-PLP has become a low-end product target, and chip-first cost reduction is in progress. This paper will discuss the concerns & solutions created by compression molding for panelization to address its growing demand. Compression molding is also discussed as a solution to MUF (Mold Under-fill) associated with flip chip bonding due to the use of a degassing process that employs a highly airtight and high vacuum system, and the ability to dispense resin to the full molding area to suppressthe XY flow of resin during molding. In addition, since the structure is such that the force of the press is directly transmitted to the resin, the range of applicable pressure is wide and it is very effective especially for low pressure molding and particularly for fragile elements and ultra-thin interposers. These characteristics are very effective in the RDL-first process by providing a redistribution layer on the carrier, and helping in the suppression of warpage.
- Published
- 2020
- Full Text
- View/download PDF
50. Defect Printability for 2/2 RDL and The Impact of Advanced Reticle Processes
- Author
-
Bryan S. Kasprowicz, Patrick Reynolds, Martin Carrier, Siamak Mogharrabi, Corey Shay, Andrew Zanzal, and Keith Best
- Subjects
Wafer-scale integration ,Materials science ,Optical proximity correction ,Resist ,business.industry ,Reticle ,Optoelectronics ,Wafer ,Redistribution layer ,Stepper ,business ,Lithography - Abstract
In the next few years, advanced process technologies in advanced packaging fabs will migrate rapidly to reduction lithography to achieve 2/2 RDL and beyond. Reticle enhancement techniques, such as Optical Proximity Correction (OPC) may be required in multiple reticle layers to provide sufficient process latitude for high volume manufacturing. However, a challenge in the manufacturing of OPC reticles is the lack of a precise specifications for defect inspection with respect to the printability on wafers [1]. In this paper, the printability of reticle defects for 2/2 micrometers Redistribution Layer (RDL) design rule are studied via i-line resist process. The reticle defect printability is determined by considering the wafer process critical dimension (CD) variability. In the experiment, an i-line 2x reduction stepper with 0.1 NA imaging lens was used to expose the programmed defect reticle. The resist CD response to the reticle defect area is measured under a variety of process conditions, i.e., different exposure dose or focusThe programmed defect reticles consisted of both Clear and Dark Field polarities comprising of 2/2 design rules will be used for the printability study. Defects such as intrusions and protrusions at various sizes on RDL patterns, have been characterized. Defect disposition comparing reticle to designed programmed defects to those without will be shown as well as the impact of the defect on patterning performance. Finally, the allowable reticle defect requirement is assessed where the printable reticle defect size is tied to the wafer process specifications and the actual wafer process CD controllability. The influence of the reticle manufacturing processes on wafer patterning performance is examined. Through this comparison, insights into target specifications (MTT, CDU, defects) for advanced RDL reticles can be derived while balancing cost tradeoffs.
- Published
- 2020
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.