Search

Showing total 711 results

Search Constraints

Start Over You searched for: Topic low-power Remove constraint Topic: low-power Database Complementary Index Remove constraint Database: Complementary Index
711 results

Search Results

1. 46‐3: Invited Paper: Ultra Low Power Color ePaper Signage Displays for Outdoor Use.

2. A 0.5 V, 32 nW Compact Inverter-Based All-Filtering Response Modes Gm-C Filter for Bio-Signal Processing.

3. High-Speed, Low-Power, and Area-Efficient 5T4M Memristor-Based Ternary Content Addressable Memory.

4. Low-Power Preprocessing System at MCU-Based Application Nodes for Reducing Data Transmission.

5. A 12T low-power full adder cell with a novel dynamic circuit.

6. An Analysis of Blockchain-Based IoT Sensor Network Distributed Denial of Service Attacks.

7. Analysis of the Impact of the Inductive Peaking Bandwidth Enhancement Technique on the Noise Performance of CMOS Optical Amplifiers.

8. Flexible Organic Electrochemical Transistors for Energy-Efficient Neuromorphic Computing.

9. Constrained Flooding Based on Time Series Prediction and Lightweight GBN in BLE Mesh.

10. 합성곱 신경망 연산을 위한 저전력 콘볼루션 레이어 하드웨어 설계.

11. 用于短距光通信的超低功耗光发射器研究.

12. Analysis of an operational trans-conductance amplifier with positive feedback.

13. Smart Sticker Ultra-Low-Power Shock Detection in the Supply Chain.

14. Enhancing Power Efficiency in Branch Target Buffer Design with a Two-Level Prediction Mechanism.

15. GazeTrak: Exploring Acoustic-based Eye Tracking on a Glass Frame.

16. ASIC Design of Low Power Sobel Edge Detection Filter: An Analog Approach.

17. 54.1: Invited Paper: High‐Transmission and High‐Contrast‐Ratio AIFF MVA LCDs without Rubbing, Protrusion, ITO Slit and Photoalignment Process.

18. An evaluation of relational and NoSQL distributed databases on a low-power cluster.

19. FinFET-based 11T sub-threshold SRAM with improved stability and power.

20. Chip implementation of low-power high-efficient buck converter for battery-powered IOT applications.

21. Computationally efficient low-power sigma delta modulation-based image processing algorithm.

22. Low-power and low-energy CNFET-based approximate full adder cell for image processing applications.

23. Research progress on low-power artificial intelligence of things (AIoT) chip design.

24. Study of Energy-Efficient Biomedical Data Compression Methods in the Wireless Body Area Networks (WBANs) and Remote Healthcare Networks.

25. Low Power and Fully Nonvolatile Full-Adder Based on STT-SHE-MRAM.

26. Design and Implementation of CNFET SRAM Cells by Using Multi-Threshold Technique.

27. A Low-Power Wireless System for Predicting Early Signs of Sudden Cardiac Arrest Incorporating an Optimized CNN Model Implemented on NVIDIA Jetson.

28. Towards a Wireless and Low-Power Infrastructure for Representing Information Based on E-Paper Displays.

29. A 40-nm low-power WiFi SoC with clock gating and power management strategy.

30. Design and application of a novel low-voltage low-power OTA using signal attenuation technique for high linearity.

31. A robust multi-bit soft-error immune SRAM cell for low-power applications.

32. An approximate randomization-based neural network with dedicated digital architecture for energy-constrained devices.

33. A 134-nW Single BJT Bandgap Voltage and Current Reference in 0.18-µm CMOS.

34. A g m /I D -Based Low-Power LNA for Ka-Band Applications.

35. Precise and low-power closed-loop neuromodulation through algorithm-integrated circuit co-design.

36. Speed, Power and Area Optimized Monotonic Asynchronous Array Multipliers.

37. Real-Time Compact Digital Processing Chain for the Detection and Sorting of Neural Spikes from Implanted Microelectrode Arrays.

38. A Schmitt-Trigger-Based Low-Voltage 11 T SRAM Cell for Low-Leakage in 7-nm FinFET Technology.

39. Design of Generalized Enhanced Static Segment Multiplier with Minimum Mean Square Error for Uniform and Nonuniform Input Distributions.

40. Low-Noise, Low-Power Readout IC for Two-Electrode ECG Recording Using Common-Mode Charge Pump for Robust 20-V PP Common-Mode Interference.

41. Spiking Neural Networks for Structural Health Monitoring.

42. Low-power hardware-efficient memory-based DCT processor.

43. 에지 클라우드 협동 이미지 처리기반 메타버스에서 스트리밍 가능한 저전력 AI 소프트웨어의 런타임 실행.

44. Smart Wireless CO 2 Sensor Node for IoT Based Strategic Monitoring Tool of The Risk of The Indoor SARS-CoV-2 Airborne Transmission.

45. A Single-Bitline 9T SRAM for Low-Power Near-Threshold Operation in FinFET Technology.

46. P‐4: ΔVTH and VSS IR rise Compensable PAM and PWM Combined Oxide TFTs Mini‐LED Pixel Circuit Working at Low Voltage.

47. A Novel Low-Power High-Precision Implementation for Sign–Magnitude DLMS Adaptive Filters.

48. Bee Sound Detector: An Easy-to-Install, Low-Power, Low-Cost Beehive Conditions Monitoring System.

49. Improved read/write assist mechanism for 10‐transistor static random access memory cell.

50. Design of a Highly Stable and Robust 10T SRAM Cell for Low-Power Portable Applications.