Search

Your search keyword '"Line edge roughness"' showing total 377 results

Search Constraints

Start Over You searched for: Descriptor "Line edge roughness" Remove constraint Descriptor: "Line edge roughness" Database OpenAIRE Remove constraint Database: OpenAIRE
377 results on '"Line edge roughness"'

Search Results

1. Coarse-Grained Modeling of EUV Patterning Process Reflecting Photochemical Reactions and Chain Conformations

2. Probabilistic Artificial Neural Network for Line-Edge-Roughness-Induced Random Variation in FinFET

3. Line-Edge Roughness on Fin-Field-Effect-Transistor Performance for 7-nm and 5-nm Patterns

5. Influence of Additives on the Interfacial Width and Line Edge Roughness in Block Copolymer Lithography

6. Machine Learning (ML)-Based Model to Characterize the Line Edge Roughness (LER)-Induced Random Variation in FinFET

7. Degeneration of Line-Edge Roughness-Induced Variability for Dual-Metal Gate Fin Field-Effect Transistors

8. Comparison of LER Induced Mismatch in NWFET and NSFET for 5-nm CMOS

10. Special Section Guest Editorial: Masks and Lithography in the Era of Multi-beam Mask Writers

11. Exploring the stochastics cliff: understanding the impact of LER/LWR to stochastic defectivity and yield

12. Coater/developer and new underlayer application to sub-30nm process

13. Impact of Process-Induced Variations on Negative Capacitance Junctionless Nanowire FET

14. Analyses of pattern quality in roll-to-roll digital maskless lithography with positional errors

15. Contribution of mask defectivity in stochastics of EUVL-based wafer printing

16. Threshold Voltage Variability in Nanosheet GAA Transistors

17. Fabricating programmed micro-defects on a line and space pattern with an ultra-low line edge roughness <1 nm

18. Quantitative analysis and modeling of line edge roughness in near-field lithography: toward high pattern quality in nanofabrication

19. EUV Lithography: State-of-the-Art Review

20. Drift-Diffusion Versus Monte Carlo Simulated ON-Current Variability in Nanowire FETs

21. Utilizing Roughness Power Spectral Density Variables to Guide Resist Formulation and Understand Impact of Frequency Analysis through Process

22. DSA process optimization for high volume manufacturing

23. Self-aligned double pattern process using DSA pattern

24. Latent image characterization by spectroscopic reflectometry in the extreme ultraviolet

25. Evaluating SEM-based LER metrology using a metrological tilting-AFM

26. Stochastic printing behavior of non-local mask deficiencies in EUV lithography

27. Variation Investigation of Junction-less Transistor with Side-wall Charge-plasma Structure Induced by Line Edge Roughness

28. Analysis of the Influence of Roughness on the Propagation Constant of a Waveguide via Two Sparse Stochastic Methods

29. Contribution ratio of process fidelity and beam accuracy in multi-beam mask writing

30. Noise fidelity in SEM simulation

31. Understanding the influence of three-dimensional sidewall roughness on observed line-edge roughness in scanning electron microscopy images

32. Understanding the influence of 3D sidewall roughness on observed line-edge roughness in scanning electron microscopy images

33. Relationship between Resolution Blur and Shot Noise in Line Edge Roughness Formation of Chemically Amplified Resists Used for Extreme-Ultraviolet Lithography

35. Formulation of trade-off relationships between resolution, line edge roughness, and sensitivity in sub-10 nm half-pitch region for chemically amplified extreme ultraviolet resists

36. Optimization of Nano-Grating Pitch Evaluation Method Based on Line Edge Roughness Analysis

38. Effects of acid diffusion and resist molecular size on line edge roughness for chemically amplified resists in EUV lithography: computational study

40. Corrigendum: 'Theoretical study on trade-off relationships between resolution, line edge roughness, and sensitivity in photomask production by electron beam lithography' [Jpn. J. Appl. Phys. 58, 076501 (2019)]

41. Line Edge Roughness and Process Variation Effect of Three Stacked Gate-All-Around Silicon MOSFET Devices

42. Characteristics According to Parameters of Line Edge Roughness in Ultra-Scaled Gate-All-Around Nanowire FET

43. Effects of the correlation length of line edge roughness on the variability of 14-nm inversion-mode and junctionless FinFETs

44. 3D Technology Computer-Aided Design-Based Optimization of Channel Radius Considering Line Edge Roughness on Gate-All-Around Nanowire FET

45. Impact of Short-Wavelength and Long-Wavelength Line-Edge Roughness on the Variability of Ultrascaled FinFETs

46. Line edge roughness induced threshold voltage variability in nano-scale FinFETs

47. Relationship between Sensitization Distance and Photon Shot Noise in Line Edge Roughness Formation of Chemically Amplified Resists Used for Extreme Ultraviolet Lithography

48. Sequential Infiltration Synthesis for Line Edge Roughness Mitigation of EUV Resist

49. 3-D Quasi-Atomistic Model for Line Edge Roughness in Nonplanar MOSFETs

50. Nondestructive analysis of lithographic patterns with natural line edge roughness from Mueller matrix ellipsometric data

Catalog

Books, media, physical & digital resources