Search

Showing total 31 results

Search Constraints

Start Over You searched for: Topic circuit faults Remove constraint Topic: circuit faults Topic computational modeling Remove constraint Topic: computational modeling Journal ieee transactions on computer-aided design of integrated circuits & systems Remove constraint Journal: ieee transactions on computer-aided design of integrated circuits & systems Publisher ieee Remove constraint Publisher: ieee
31 results

Search Results

1. New Targets for Diagnostic Test Generation.

2. Skewed-Load Tests for Transition and Stuck-at Faults.

3. LFSR-Based Test Generation for Path Delay Faults.

4. Clock Sequences for Increasing the Fault Coverage of Functional Test Sequences.

5. Sequential Test Generation Based on Preferred Primary Input Cubes.

6. Identifying Biases of a Defect Diagnosis Procedure.

7. Input Test Data Volume Reduction for Skewed-Load Tests by Additional Shifting of Scan-In States.

8. Improving the Diagnosability of Scan Chain Faults Under Transparent-Scan by Observation Points.

9. Estimation of Analog/RF Parametric Test Metrics Based on a Multivariate Extreme Value Model.

10. Exploiting Shared-Memory to Steer Scalability of Fault Simulation Using Multicore Systems.

11. SWIFT: Switch-Level Fault Simulation on GPUs.

12. Balancing the Numbers of Detected Faults for Improved Test Set Quality.

13. Selection of Functional Test Sequences With Overlaps.

14. Efficient Variation-Aware Delay Fault Simulation Methodology for Resistive Open and Bridge Defects.

15. GPU-Accelerated Simulation of Small Delay Faults.

16. Generation of Functional Broadside Tests for Logic Blocks With Constrained Primary Input Sequences.

17. Accurate QBF-Based Test Pattern Generation in Presence of Unknown Values.

18. Simulation-Based Diagnostic Model for Automatic Testability Analysis of Analog Circuits.

19. A Metric for Identifying Detectable Path Delay Faults.

20. Scan Shift Power of Functional Broadside Tests.

21. Early Analysis of Critical Faults: An Approach to Test Generation From Formal Specifications.

22. Multipattern Scan-Based Test Sets With Small Numbers of Primary Input Sequences.

23. 3-D Parallel Fault Simulation With GPGPU.

24. Functional Criticality Analysis of Structural Faults in AI Accelerators.

25. Pass/Fail Data for Logic Diagnosis Under Bounded Transparent Scan.

26. HyCA: A Hybrid Computing Architecture for Fault-Tolerant Deep Learning.

27. Efficient Identification of Critical Faults in Memristor-Based Inferencing Accelerators.

28. PRESERVE: Static Test Compaction that Preserves Individual Numbers of Tests.

29. Modeling and Simulating Electromagnetic Fault Injection.

30. Latency Analysis for Sequential Circuits.

31. Effective Robustness Analysis Using Bounded Model Checking Techniques.