Search

Showing total 1,083 results

Search Constraints

Start Over You searched for: Topic low-power Remove constraint Topic: low-power Publication Type Academic Journals Remove constraint Publication Type: Academic Journals
1,083 results

Search Results

1. 46‐3: Invited Paper: Ultra Low Power Color ePaper Signage Displays for Outdoor Use.

3. Towards a Wireless and Low-Power Infrastructure for Representing Information Based on E-Paper Displays.

4. Smart Sticker Ultra-Low-Power Shock Detection in the Supply Chain.

6. 54.1: Invited Paper: High‐Transmission and High‐Contrast‐Ratio AIFF MVA LCDs without Rubbing, Protrusion, ITO Slit and Photoalignment Process.

8. A 12T low-power full adder cell with a novel dynamic circuit.

9. An Analysis of Blockchain-Based IoT Sensor Network Distributed Denial of Service Attacks.

11. Low-Power Preprocessing System at MCU-Based Application Nodes for Reducing Data Transmission.

12. Flexible Organic Electrochemical Transistors for Energy-Efficient Neuromorphic Computing.

13. Constrained Flooding Based on Time Series Prediction and Lightweight GBN in BLE Mesh.

14. 합성곱 신경망 연산을 위한 저전력 콘볼루션 레이어 하드웨어 설계.

15. 用于短距光通信的超低功耗光发射器研究.

16. Enhancing Power Efficiency in Branch Target Buffer Design with a Two-Level Prediction Mechanism.

17. An evaluation of relational and NoSQL distributed databases on a low-power cluster.

18. Computationally efficient low-power sigma delta modulation-based image processing algorithm.

19. Design and Implementation of CNFET SRAM Cells by Using Multi-Threshold Technique.

20. A ZERO-Power Sensor Using Multi-Port Direct-Conversion Sensing.

21. A Low-Power Wireless System for Predicting Early Signs of Sudden Cardiac Arrest Incorporating an Optimized CNN Model Implemented on NVIDIA Jetson.

22. FinFET-based 11T sub-threshold SRAM with improved stability and power.

23. Research progress on low-power artificial intelligence of things (AIoT) chip design.

24. Analysis of an operational trans-conductance amplifier with positive feedback.

25. ASIC Design of Low Power Sobel Edge Detection Filter: An Analog Approach.

26. Low Power and Fully Nonvolatile Full-Adder Based on STT-SHE-MRAM.

27. Study of Energy-Efficient Biomedical Data Compression Methods in the Wireless Body Area Networks (WBANs) and Remote Healthcare Networks.

28. A 40-nm low-power WiFi SoC with clock gating and power management strategy.

29. Low-power and high-speed SRAM cells for double-node-upset recovery.

30. A Schmitt-Trigger-Based Low-Voltage 11 T SRAM Cell for Low-Leakage in 7-nm FinFET Technology.

31. A Novel Low-Power High-Precision Implementation for Sign–Magnitude DLMS Adaptive Filters.

32. Freezing: Eliminating Unnecessary Drawing Computation for Low Power.

33. An area‐effective and low‐power single‐slope ADC for DCG imaging CMOS image sensor.

34. Design and application of a novel low-voltage low-power OTA using signal attenuation technique for high linearity.

35. A robust multi-bit soft-error immune SRAM cell for low-power applications.

36. A 4–6 GHz Single-Ended to Differential-Ended Low-Noise Amplifier for IEEE 802.11ax Wireless Applications with Inherent Complementary Distortion Cancellation.

37. A Time-Domain Comparator Based Skipping-Window SAR ADC.

38. Cost-Effective Robustness in Clock Networks Using Near-Tree Structures.

39. Adaptive Pulse Width Control and Sampling for Low Power Pulse Oximetry.

40. SpikeOnChip : A Custom Embedded Platform for Neuronal Activity Recording and Analysis.

41. An approximate randomization-based neural network with dedicated digital architecture for energy-constrained devices.

42. A 134-nW Single BJT Bandgap Voltage and Current Reference in 0.18-µm CMOS.

43. Design of Generalized Enhanced Static Segment Multiplier with Minimum Mean Square Error for Uniform and Nonuniform Input Distributions.

44. A 1-mW Class-AB Amplifier With −101 dB THD+N for High-Fidelity 16 $\Omega$ Headphones in 65-nm CMOS.

45. An 88-fJ/40-MHz [0.4 V]–0.61-pJ/1-GHz [0.9 V] Dual-Mode Logic 8 $\times$ 8 bit Multiplier Accumulator With a Self-Adjustment Mechanism in 28-nm FD-SOI.

46. A Wireless Respiratory Monitoring System Using a Wearable Patch Sensor Network.

47. Reconfigurable CORDIC-Based Low-Power DCT Architecture Based on Data Priority.

48. Low-Cutoff Frequency Reduction in Neural Amplifiers: Analysis and Implementation in CMOS 65 nm.

49. Design of an Inverter-Base, Active-Feedback, Low-Power Transimpedance Amplifier Operating at 10 Gbps.

50. Chip implementation of low-power high-efficient buck converter for battery-powered IOT applications.