209 results
Search Results
2. Intelligent process monitoring for paper machines
- Author
-
Janos L. Grantner, George A. Fodor, and P.E. Parker
- Subjects
Instrumentation and control engineering ,Virtual finite-state machine ,Automatic control ,Supervisory control ,Real-time Control System ,Computer science ,Process control ,Control engineering ,Intelligent control ,Advanced process control - Abstract
Paper machine control is a complex control environment. It consists of a large number of smaller control units, typically programmable logic controllers, that are integrated into an overall control architecture. Basis weight and formation control are typical of such systems. Errors in measurements due to sensor malfunctioning, or process states outside the basic assumptions for the control action lead to unwanted and/or poor supervisory response. Manual control often becomes the only way to return the machine to the desired state. In this paper, we report on the initial results of a research to apply the theories of ontological control and the hybrid fuzzy-Boolean finite state machine to paper machine basis weight control. The objective of the research is to provide an automated error detection and recovery method when control encounters an unexpected change in the process environment.
- Published
- 1999
3. Part-Level Fault Classification of Mass Flow Controller Drift in Plasma Deposition Equipment.
- Author
-
Kim, Min Ho, Sim, Hye Eun, and Hong, Sang Jeen
- Subjects
PROCESS control equipment ,PLASMA deposition ,GAS flow ,FLUID flow ,MANUFACTURING processes ,SEMICONDUCTOR manufacturing - Abstract
Semiconductor manufacturing processing can be jeopardized due to process fluctuations, and the degradation of equipment parts can significantly influence process variation. Timely diagnosing equipment faults causing process variations is desired in current high-end product manufacturing. This paper proposes a diagnostic method for the SiH4 gas flow rate drift using N2 vibrational transition in oxide deposition. In this research, optical emission spectroscopy (OES) and quadrupole mass spectrometer (QMS) are employed as condition monitoring sensors serving as a reference model to compare the diagnostic performance for gas flow rate drift. The study observes that the OES model exhibits much higher performance for minor diagnoses of less than 5% drift. The diagnostic model performance can be enhanced by incorporating plasma condition and gas indicators compared to when these indicators are used individually. This suggests that when conducting diagnostics for equipment and processes, it is crucial to consider indirect indicators like plasma indicators along with direct indicators such as gas radical density. The comprehensive use of both types of indicators enhances the diagnostic performance, providing a more accurate assessment of the conditions and potential problem in semiconductor manufacturing. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
4. GAGAN: Global Attention Generative Adversarial Networks for Semiconductor Advanced Process Control.
- Author
-
Hsiao, Hsiu-Hui and Wang, Kung-Jeng
- Subjects
GENERATIVE adversarial networks ,SEMICONDUCTORS ,SEMICONDUCTOR industry ,PHOTOLITHOGRAPHY - Abstract
This paper addresses the quality control of the photolithography process in the semiconductor industry. Overlay errors in the process seriously affect the wafer yield, and cause the wafer to be forced to rework and affect the production efficiency of the equipment. We examine the current state of its process control, develop a novel overlay predict model, and verify the prediction results. This study proposes a Global Attention Generative Adversarial Networks (GAGAN) model to precisely predict the overlay error for the feed-forward data of the front layer, which is used as the important information and process parameters for the advanced process control of the current layer. Experiment results on a semiconductor shop-floor confirms that our proposed method achieves high predictive performance while maintaining extensibility and visual quality. [ABSTRACT FROM AUTHOR]
- Published
- 2024
- Full Text
- View/download PDF
5. Virtual Metrology Model Robustness Against Chamber Condition Variation Using Deep Learning.
- Author
-
Tsutsui, Takuro and Matsuzawa, Takahito
- Subjects
DEEP learning ,METROLOGY ,EMISSION spectroscopy ,OPTICAL spectroscopy ,IMAGE analysis ,SEMICONDUCTOR manufacturing - Abstract
Virtual metrology (VM) predicts the actual measurement for ongoing semiconductor process. Optical emission spectroscopy (OES) data is often used to build up VM models, since it has a lot of information on process quality. However, it also includes significant redundant information, so it is important how to select only meaningful features. Deep learning (DL) techniques have been very successful in analyzing image data and it is tempting to apply those techniques to the OES data. In this paper, we propose DL configurations specific to OES data that outperform those used for image analysis. Specifically, our proposed method accounts for variable size data, chamber to chamber differences, condition drift due to accumulation, observation data drift due to an accumulation of deposition on a window, and the effects of maintenance. We evaluated our method on a real, mass-production dataset and compared our results with those obtained by using state-of-the-art image analysis DL techniques in the famous contest, ImageNet large-scale visual recognition challenge (ILSVRC). [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
6. Model Predictive Control of Si1-xGex Thin Film Chemical-Vapor Deposition.
- Author
-
Middlebrooks, Scott A. and Rawlings, James B.
- Subjects
CHEMICAL vapor deposition ,OPTICAL films ,PROCESS control systems ,METAL oxide semiconductor field-effect transistors ,COMPLEMENTARY metal oxide semiconductors ,ELLIPSOMETRY - Abstract
This paper integrates in situ robust and efficient fundamental models and noninvasive optical sensors with state-of-the-art estimation and model predictive control techniques in order to grow unusual and aggressive Si
1 -x Gex , alloy films. A model predictive controller is presented that utilizes a dynamic process model and feedback from a spectral ellipsometer to reconstruct the current state of a Si1 -x Gex growing film in real time. Si1 -x Gex films grown utilizing feedback from a spectral ellipsometer are compared to films grown using open-loop recipes, which is the current industrial practice. These films are compared quantitatively utilizing the offline characterization techniques, auger spectrometry, and secondary-ion-mass-spectrometry analysis. The model predictive controller presented in this paper detects and rejects unmeasured disturbances allowing for precise control over film qualities. In this paper, films grown utilizing feedback from an optical sensor are shown to be up to 51% truer to desired profiles, when compared with similar films grown using open-loop recipes. The experimental results presented in this paper provide the first demonstration of feedback control using online optical film measurements to grow aggressive alloy composition profiles in which flow rates of several component gases and reactor temperatures must be varied simultaneously in order to achieve the profile of interest. [ABSTRACT FROM AUTHOR]- Published
- 2007
- Full Text
- View/download PDF
7. A Computer Vision-Inspired Deep Learning Architecture for Virtual Metrology Modeling With 2-Dimensional Data.
- Author
-
Maggipinto, Marco, Terzi, Matteo, Masiero, Chiara, Beghi, Alessandro, and Susto, Gian Antonio
- Subjects
SEMICONDUCTOR industry ,COMPUTER vision ,DEEP learning ,COMPUTER architecture ,METROLOGY ,MACHINE learning - Abstract
The rise of industry 4.0 and data-intensive manufacturing makes advanced process control (APC) applications more relevant than ever for process/production optimization, related costs reduction, and increased efficiency. One of the most important APC technologies is virtual metrology (VM). VM aims at exploiting information already available in the process/system under exam, to estimate quantities that are costly or impossible to measure. Machine learning (ML) approaches are the foremost choice to design VM solutions. A serious drawback of traditional ML methodologies is that they require a features extraction phase that generally limits the scalability and performance of VM solutions. Particularly, in presence of multi-dimensional data, the feature extraction process is based on heuristic approaches that may capture features with poor predictive power. In this paper, we exploit modern deep learning (DL)-based technologies that are able to automatically extract highly informative features from the data, providing more accurate and scalable VM solutions. In particular, we exploit DL architectures developed in the realm of computer vision to model data that have both spatial and time evolution. The proposed methodology is tested on a real industrial dataset related to etching, one of the most important semiconductor manufacturing processes. The dataset at hand contains optical emission spectroscopy data and it is paradigmatic of the feature extraction problem in VM under examination. [ABSTRACT FROM AUTHOR]
- Published
- 2018
- Full Text
- View/download PDF
8. Framework for integration of virtual metrology and predictive maintenance.
- Author
-
Roeder, Georg, Mattes, Andreas, Pfeffer, Markus, Schellenberger, Martin, Pfitzner, Lothar, Knapp, Alexander, Muhlberger, Heribert, Kyek, Andreas, Lenz, Benjamin, Frisch, Markus, Bichlmeier, Josef, Leditzky, Gunter, Lind, Erich, Zoia, Silvia, and Fazio, Giuseppe
- Abstract
Within the ENIAC project “IMPROVE”, new algorithms for virtual metrology and predictive maintenance are being developed to substantially enhance efficiency in European semiconductor manufacturing. The consortium comprises important IC manufacturers in Europe, solution providers, and research institutions. A major objective of the project is to make these new APC methods applicable in the existing fab systems of the IC manufacturers which widely differ in the automation infrastructure. A novel framework architecture for integration of the new control paradigms was researched and a software for implementation of the framework was developed. This paper describes the technical details and results of the framework development, implementation, and test. [ABSTRACT FROM PUBLISHER]
- Published
- 2012
- Full Text
- View/download PDF
9. A failure model of heating filaments in epitaxial growth tools.
- Author
-
Hui, Keung and Mou, Jason
- Abstract
This paper presents a failure model of heating filaments as used in semiconductor manufacturing tools for growing epitaxial films. Attempts were endeavoured to provide feasible physical insights on the observations in changes of impedance as indirectly derived via readings of voltage-current measurements, instead of conventional data-driven black-box approach of statistical regressions. The proposed model is able to predict the magnitudes of these impedance changes and the classification result lends itself to the setting up of quantifiable control limits to enable early warnings of fault detections in process controls. [ABSTRACT FROM PUBLISHER]
- Published
- 2012
- Full Text
- View/download PDF
10. Metrology Sampling Strategies for Process Monitoring Applications.
- Author
-
Vincent, Tyrone L., Stirton, James Broc, and Poolla, Kameshwar
- Subjects
METROLOGY ,STATISTICAL sampling ,SEMICONDUCTOR manufacturing ,PRESSURE ,SEMICONDUCTOR wafers ,PRINCIPAL components analysis ,CANONICAL correlation (Statistics) ,MATHEMATICAL models - Abstract
Shrinking process windows in very large scale integration semiconductor manufacturing have already necessitated the development of control systems capable of addressing sub-lot-level variation. Within-wafer control is the next milestone in the evolution of advanced process control from lot-based and wafer-based control. In order to adequately comprehend and control within-wafer spatial variation, inline measurements must be performed at multiple locations across the wafer. At the same time, economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed using the standard statistical tools of principle component analysis and canonical correlation analysis. The proposed selection method is validated using real manufacturing data, and results indicate that it is possible to significantly reduce the number of measurements with little loss in the information obtained for the process control systems. [ABSTRACT FROM AUTHOR]
- Published
- 2011
- Full Text
- View/download PDF
11. Just-in-Time Adaptive Disturbance Estimation for Run-to-Run Control of Semiconductor Processes.
- Author
-
Firth, Stacy K., Campbell, W. Jarrett, Toprac, Anthony, and Edgar, Thomas F.
- Subjects
SEMICONDUCTOR industry ,PARAMETER estimation ,ALGORITHMS ,JUST-in-time systems ,ESTIMATION theory ,PROCESS control systems - Abstract
Run-to-run control is the term used for the application of discrete parts manufacturing control as practiced in the semiconductor industry. This paper presents a new algorithm for use in run-to-run control that has been designed to address some of the challenging issues unique to batch-type manufacturing. Just-in-time adaptive disturbance estimation (JADE) uses recursive weighted least squares parameter estimation to identify the contributions to variation that are dependent upon manufacturing context. The strengths and weaknesses of the JADE algorithm are demonstrated in a series of test cases developed to separate the various disturbances and processing issues a control system would be expected to encounter. [ABSTRACT FROM AUTHOR]
- Published
- 2006
- Full Text
- View/download PDF
12. Optimal Automatic Control of Multistage Production Processes.
- Author
-
Fenner, Joel S., Myong K. Jeong, and Jye-chyi Lu
- Subjects
HIGH technology industries ,MANUFACTURING processes ,MATERIALS ,ENGINEERING instruments ,SEMICONDUCTOR industry ,SYSTEMS engineering - Abstract
Today's high-tech industries produce complicated products involving many processing steps. The usual approach of modeling and controlling each of these steps in isolation is re-evaluated. This paper develops a data model of synchronized observations collected from several stages of a multistage manufacturing process, and proposes an across-stage automatic control scheme for adjusting nonstationary process drifts. The proposed controller applies dynamic programming tools to optimize multiple goals specified for individual process stages and possible mismatch between stages. Several examples and simulation studies demonstrate that the proposed method is a valuable tool for improving semiconductor manufacturing quality. [ABSTRACT FROM AUTHOR]
- Published
- 2005
- Full Text
- View/download PDF
13. Big Data Capabilities Applied to Semiconductor Manufacturing Advanced Process Control.
- Author
-
Moyne, James, Samantaray, Jamini, and Armacost, Michael
- Subjects
SEMICONDUCTOR manufacturing ,PROCESS control systems ,BIG data ,INFORMATION resources management ,REAL-time computing ,PREDICTIVE control systems - Abstract
As requirements on data volumes, rates, quality, merging, and analytics increase exponentially in the digital universe, semiconductor manufacturers are faced with a need for new approaches to data management and use across the Fab. These are often termed “big data” challenges. In our industry big data solutions will be key to scaling advanced process control (APC) solutions to finer levels of control and diagnostics. However the main impact will be to better enable more effective predictive technologies such as predictive maintenance (PdM), virtual metrology and yield prediction, all of which utilize data from traditional APC capabilities that include fault detection and classification and run-to-run control. PdM represents one area where big data solutions are generating significant benefits across a variety of process types. Moving to big data solutions involves addressing the aforementioned requirements either with enhancements of existing systems or moving to more big data friendly platforms. Big data friendly platforms applied to APC systems provide quantifiable cost-of-ownership and speed improvements, thereby better enabling high quality prediction solutions. Initially, big data solutions will largely be delegated to off-line and on-time critical tasks; over the longer term these big data solutions will increasingly be leveraged for time critical and real-time capabilities. [ABSTRACT FROM AUTHOR]
- Published
- 2016
- Full Text
- View/download PDF
14. Control of Epitaxial Growth of SiGe.
- Author
-
van Roijen, Raymond, Steigerwalt, Michael, Bell, Josh D., Harley, Eric, Herbert, Alyssa, Fayaz, Mohammed Fazil, Brodfuehrer, Michael, Mocuta, Anda, and Snavely, Colleen
- Subjects
EPITAXY ,SILICON germanium integrated circuits ,PREDICTION models ,PERFORMANCE evaluation ,FEATURE extraction - Abstract
Silicon-Germanium (SiGe), used to boost pFET performance and enhance the properties of high- k metal gate devices, is grown by selective epitaxy on silicon. Since device parameters depend critically on the deposited SiGe thickness, we apply several advanced techniques to control deposition. Feedback and feed-forward of growth rate data is used to control deposition tools. We also apply a pattern-density based predictive growth rate, since pattern density effects cause the deposited thickness to be different across different product chips under otherwise identical conditions. We use run to run analysis of deposition data and a feature of the deposition tool to tune cross wafer deposition rates for optimized uniformity. Finally, we consider local (within chip) growth rate variation. We demonstrate that the deposited layer thickness is in acceptable range for device performance across a product chip. [ABSTRACT FROM AUTHOR]
- Published
- 2015
- Full Text
- View/download PDF
15. Enhancing Utilization and Cycle Times at Clustered Etch Tools through Concurrent Dispatching
- Author
-
Tyler Fuerst, Karthik Iyer, Shiladitya Chakravorty, and Binay Dash
- Subjects
0209 industrial biotechnology ,021103 operations research ,Plasma etching ,Job shop scheduling ,Semiconductor device fabrication ,Computer science ,business.industry ,0211 other engineering and technologies ,Scheduling (production processes) ,02 engineering and technology ,Work in process ,020901 industrial engineering & automation ,Process control ,Dry etching ,Process engineering ,business ,Advanced process control - Abstract
Scheduling and dispatching play a critical role in achieving various key factory goals. Plasma etching or dry etching is one of the many key semiconductor manufacturing process steps. In this paper, we will discuss a dispatching approach that was employed at cluster type plasma etching tools. The loading decisions at cluster type plasma etching are fairly challenging due to highly diverse work in progress (WIP) queue and advanced process control (APC) impact on recipe, chamber qualification. The paper will discuss the loading decision challenges at the tools, possible solution approaches, and the chosen solution with results.
- Published
- 2021
16. Implementation of Advanced Process Control in Refineries
- Author
-
Marian Popescu, Nicoleta Nicolae, and Cristian Patrascioiu
- Subjects
Computer science ,Fractionating column ,Control theory ,Control system ,Component (UML) ,Oil refinery ,Process (computing) ,Process control ,Control engineering ,Advanced process control - Abstract
The paper presents a study of the Advanced Process Control (APC) system implemented in refineries. Initially, the paper introduces the Advanced Control System concept and its components. In the second part of the article, a short overview of the Advanced Process Control system is presented. The author’s research was directed to the APC system related to the catalytic cracking plant. Two APC systems implemented in the catalytic cracking plant, one presented in literature and the other found in a Romanian refinery were compared. The common elements and the particularities of each system were identified. Starting from the existing data in the Romanian plant, the authors developed a component of the APC system for the butane-butylene distillation column. The process mathematical models used in the design of the controller in the APC system are presented. The control system with the designed MPC controller is tested for step changes of set-points and disturbances.
- Published
- 2019
17. Analysis of service-oriented architecture approaches suitable for modular process automation
- Author
-
Alexander Fay, Mario Hoernicke, and Henry Bloch
- Subjects
0209 industrial biotechnology ,Engineering ,business.industry ,computer.internet_protocol ,Totally integrated automation ,02 engineering and technology ,Service-oriented architecture ,Modular design ,Work in process ,Process automation system ,Automation ,020901 industrial engineering & automation ,020401 chemical engineering ,Systems engineering ,Process control ,0204 chemical engineering ,business ,computer ,Advanced process control - Abstract
Evolution in global markets for chemical and pharmaceutical goods requires new approaches in process plant design. Modularization is commonly considered as a promising approach to face the challenges of changing production environment. In order to exploit the advantages of process modularization, new structures of communication and control are required for modular process automation. Service-oriented architecture has been introduced as a promising communication infrastructure combined with state-based control of services. This paper introduces requirements of modular process automation and general requirements of service-oriented architectures. Process functions are represented by encapsulated services in the control level of the automation pyramid. Interaction between a superior control system and intelligent modules is described. Furthermore, approaches of service-oriented architecture are introduced such as a reference model as well as specific implementations of services within modular automation. Subsequently, four different service-oriented architecture approaches are analyzed with respect to their fulfilment of the presented requirements. Finally, this paper discusses the result of the analysis and the general applicability of service-oriented architectures for modular process automation.
- Published
- 2016
18. From PID to MPC: Control engineering methods development and applications
- Author
-
Stefan Kozak
- Subjects
Model predictive control ,Engineering ,Instrumentation and control engineering ,business.industry ,Control system ,Process control ,Control engineering ,Industrial control system ,Process automation system ,business ,Automation ,Manufacturing engineering ,Advanced process control - Abstract
Process automation systems are widely known to be a crucial element in processing plants. Power plants, chemical, petrochemical, oil refining, food, automotive and many other processes in industry are vast and complex, and said to be controlled by just a handful of major players worldwide. Computer control systems and control engineering methods are at the heart of all automatically controlled processes. Motivated by practical success of modern control engineering methods in many industrial process and consumer electronics products there has been an increasing amount of work on development of new methods based on new robust, adaptive methods, numerical optimization techniques, soft computing strategies, microcomputers, decentralized control structure and hardware embedded controllers realization. The paper presents current state and development of the currently most widely used control methods, structures, and their applications to different types of automated processes. The objective of the paper is to survey and compare performance of conventional controllers with several selected advanced controllers with regard to their advantages and drawbacks in industrial applications.
- Published
- 2016
19. An applicable approach for internal control testing in ERP
- Author
-
Li Tingliao and Wan Jianguo
- Subjects
Software ,Business process ,business.industry ,Computer science ,Control (management) ,Information system ,Key (cryptography) ,Process control ,Internal control ,business ,Industrial engineering ,Advanced process control - Abstract
ERP systems have fundamentally changed the way of business data processing. These changes have affected the internal control system of ERP inevitably. Many traditional internal control testing approaches could not work well in ERP environment. In ERP systems, many control criteria and control procedures can be achieved by control data. In this paper, we proposed an applicable approach to test internal controls by checking various types of control data. This approach contains four steps. First is to understand the key business process, second is to identify critical controls points in these processes, the third is to check control data which represented the critical control to test the effectiveness of internal control, and the fourth is to evaluate the history of control data. A practical case is provided at the end of this paper to describe the applicability of this approach.
- Published
- 2016
20. Bessel Decomposition of Temperature Profiles in Film Deposition Reactors.
- Author
-
Hui, Keung and Mou, Jason
- Subjects
BESSEL functions ,THERMAL analysis ,PROCESS control systems ,ELECTRIC inductors ,SEMICONDUCTOR device modeling - Abstract
Among the three process pillars of adding, patterning, and removing actions in the fabrications of semiconductor devices, film deposition takes up the central position in the construction flow of structure formation. Film uniformity of the deposition processes has significant impacts on the distributions of the electrical functions of the fabricated devices. Achieving good final uniformity of the film thickness depends critically on profiles of the thermal fields inside the film deposition chambers. Constructing simple, efficient, and yet accurate enough models of the temperature fields is therefore crucial in effective fault detection and eventually, for film thickness uniformity controls. Instead of solving systems of nonlinear partial differential field equations or conducting large-scale simulation runs, we explored one decomposition method of the temperature fields using a zeroth order Bessel function of the first kind for applications of fault detection and classification. [ABSTRACT FROM AUTHOR]
- Published
- 2019
- Full Text
- View/download PDF
21. Ontology-based industrial plant description supporting simulation model design and maintenance
- Author
-
Petr Novák and Radek Sindelar
- Subjects
Domain-specific language ,Consistency (database systems) ,Instrumentation and control engineering ,SCADA ,Computer science ,Systems engineering ,Ontology ,Ontology (information science) ,Advanced process control - Abstract
Industrial plants and processes are becoming very complex and sophisticated. Appropriate control algorithms, being necessary for their efficient operation, can be tested and fine-tuned on simulation models; for advanced process control the models are even necessary. Therefore, the fundamental precondition for control algorithm design is to implement and fine-tune a simulation model. Since plant description methods used nowadays are insufficient for describing modern flexible plants, this paper presents an ontology-based approach to the plant description. The proposed paradigm enables querying and inferring new pieces of knowledge. The solution supports the efficient integration of diverse engineering tools, such as simulators, SCADA systems, or even proprietary software used in particular projects. The proposed approach supports reasoning techniques and efficient utilization of domain specific languages for each engineer or expert. Ontologies comprise explicitly specified knowledge, enable to interchange it between automation tools and can guarantee consistency of the whole integrated solution. The presented approach can be used for both continuous and discrete systems, especially the large-scale ones. A practical example, describing a passive house with ontology individuals and generating a structure of a simulation model automatically by the implemented tool, is involved in this paper.
- Published
- 2013
22. Advanced process control for furnace systems in semiconductor manufacturing
- Author
-
Amit Sonar, Satyajit Shinde, and Yulei Sun
- Subjects
Atomic layer deposition ,Materials science ,business.industry ,Semiconductor device fabrication ,Feed forward ,Process control ,Wafer ,Process engineering ,business ,Statistical process control ,Throughput (business) ,Advanced process control - Abstract
Traditional semiconductor manufacturing relies on statistical process control and human intervention as the fundamental method to change the process parameters to generate desired output. With the increase in demand for quality, yield, throughput, and performance, Advanced Process Control (APC) is needed to improve the manufacturing processes using run-to-run, wafer-to-wafer, within wafer and real-time process control. In this paper, multivariate, model-based APC system is developed using feed-forward and feedback mechanisms to automatically determine the optimal recipe parameters for each batch based on both incoming wafers and tool state properties. The APC methodology discussed in this paper mostly concentrates on diffusion batch processes. Primarily, it is implemented on the depletion processes such as Low Pressure Chemical Vapor Deposition (LPCVD) nitride. In addition to the traditional LPCVD nitride, APC models have also been tested and deployed on advanced Atomic Layer Deposition (ALD) nitride batch furnace systems. Implementation of APC includes process characterization and understanding of the reaction mechanism of each process. Effects of temperature, time and number of ALD cycles govern the fundamental equations of the model that determine the inputs for subsequent batch. The integration of Equipment Interface (EI), Mathematical Model and the furnace Tokyo Electron Ltd. (TEL) was pivotal for the success of the project. Significant improvement in run to run, lot to lot and wafer to wafer variation in thickness is demonstrated by implementation of APC. In addition to the process parameters, batch size effect is also considered for the APC roll out.
- Published
- 2013
23. An approach to identification procedures for PID control with PLC implementation
- Author
-
Stepan Ozana, Jiri Kocian, and Jiri Koziorek
- Subjects
Engineering ,business.industry ,Control system ,Programmable logic controller ,PID controller ,Process control ,Control engineering ,Industrial control system ,Distributed control system ,business ,Process control monitoring ,Advanced process control - Abstract
Control engineers usually face in industry to the large amount of complex processes. They need tools for assessing correct performance of control loops. This paper deals with the approach to identification procedures in control performance monitoring for PID control with PLC implementation. There are a lot of tools for performance monitoring on higher level of DCS. These tools are mainly implemented on PC's. In this paper we present implementation of identification procedures and control performance monitoring functions in programmable logic controllers (PLC).
- Published
- 2012
24. Simulation integration framework
- Author
-
Radek Sindelar and Petr Novák
- Subjects
Engineering ,SCADA ,business.industry ,Process (engineering) ,Control system ,Integration platform ,Systems engineering ,Process control ,Semantic integration ,business ,Advanced process control ,Data modeling - Abstract
Integration of simulators, data sources, optimizers and other tools is discussed in this paper. More exacting requirements on the quality of the plant operation together with environmental limits and lowering energy intensity bring more complex control systems into the everyday life. When controlling the complex multi-variable systems usually variety of control techniques can be applied in all layers of control systems. The term Advanced Process Control (APC) is used for collaboration of different techniques originate from disciplines like statistics, artificial intelligence, signal processing etc. The most important goals of APC targeted by plant operators are to increase product yield, lower power consumption. The benefits of Advanced Process Control (APC) has been clearly shown in the last years. Mostly used approaches in this area rely heavily upon a study of system behavior and process model and cannot be developed and operated without access to online and historical data. This paper presents an integration platform for simulations and SCADA systems. The main idea is to connect simulations and more complex calculations into the control system architecture. The different control techniques can be utilized by using different tools and algorithms.
- Published
- 2012
25. Optimal wafer site selection using forward selection component analysis
- Author
-
Bahman Honari, P.K.S. Prakash, Adrian Johnston, and Seán McLoone
- Subjects
Engineering ,Semiconductor device fabrication ,business.industry ,Redundancy (engineering) ,Site selection ,Virtual metrology ,Wafer ,Work in process ,business ,Manufacturing engineering ,Reliability engineering ,Advanced process control ,Metrology - Abstract
Reducing wafer metrology continues to be a major target in semiconductor manufacturing efficiency initiatives due to it being a high cost, non-value added operation that impacts on cycle-time and throughput. However, metrology cannot be eliminated completely given the important role it plays in process monitoring and advanced process control. To achieve the required manufacturing precision, measurements are typically taken at multiple sites across a wafer. The selection of these sites is usually based on a priori knowledge of wafer failure patterns and spatial variability with additional sites added over time in response to process issues. As a result, it is often the case that in mature processes significant redundancy can exist in wafer measurement plans. This paper proposes a novel methodology based on Forward Selection Component Analysis (FSCA) for analyzing historical metrology data in order to determine the minimum set of wafer sites needed for process monitoring. The paper also introduces a virtual metrology (VM) based approach for reconstructing the complete wafer profile from the optimal sites identified by FSCA. The proposed methodology is tested and validated on a wafer manufacturing metrology dataset.
- Published
- 2012
26. Advanced control engineering methods in modern technological applications
- Author
-
Stefan Kozak
- Subjects
Engineering ,Model predictive control ,Adaptive control ,Instrumentation and control engineering ,business.industry ,Process control ,Control engineering ,Fuzzy control system ,Robust control ,business ,Optimal control ,Advanced process control - Abstract
The paper deals with new directions in research, development and applications of advanced control methods and structures based on the principles of optimality, robustness and intelligence. Present trends in the complex process control design demand an increasing degree of integration of numerical mathematics, control engineering methods, new control structures based of distribution, embedded network control structure and new information and communication technologies. Furthermore, increasing problems with interactions, process non-linearity's, operating constraints, time delays, uncertainties, and significant dead-times consequently lead to the necessity to develop more sophisticated control strategies. Advanced control methods and new distributed embedded control structures represent the most effective tools for realizing high performance of many technological processes. Main ideas covered in this paper are motivated namely by the development of new advanced control engineering methods (predictive, hybrid predictive, optimal, adaptive, robust, fuzzy logic, neural network) and new possibilities of their SW and HW realizations and successful implementation in industry.
- Published
- 2012
27. Fuzzy control of a CSTR process
- Author
-
Mohamed Nounou, Hazem Nounou, and Nejoud Almalki Al-Jehani
- Subjects
Engineering ,business.industry ,Process (engineering) ,media_common.quotation_subject ,Control (management) ,Control engineering ,Fuzzy control system ,Fuzzy logic ,Plant efficiency ,Process control ,Quality (business) ,business ,Advanced process control ,media_common - Abstract
In recent years, the high demand for methods to satisfy process plants performance requirements has shed the light on the subject of process control. This subject has become increasingly important as a result of the large emphasis placed on environmental and safety regulations, plant efficiency and economic factors. The primary objective of process control is to maintain a process at the desire operating conditions safely and efficiently, while satisfying environment and product quality requirements [1]. The nonlinear nature of these processes requires other methods than conventional control. Fuzzy controllers are a practical alternative for such processes and provide human experts the ability to control the process by having intuitive understanding of it. Fuzzy controllers are knowledge-based controllers and do not involve or require a mathematical model of the process throughout its design and implementation. This paper addresses the application of fuzzy control to a continually stirred tank reactor (CSTR). The paper demonstrates the feasibility of this method in light of a highly nonlinear chemical process as such.
- Published
- 2012
28. Model-driven engineering of industrial process control applications
- Author
-
Jeff Gray, Stanko Strmčnik, Giovanni Godena, and Tomaz Lukman
- Subjects
Engineering ,Software Engineering Process Group ,Instrumentation and control engineering ,business.industry ,Empirical process (process control model) ,Manufacturing engineering ,Goal-Driven Software Development Process ,Systems engineering ,Domain engineering ,Process control ,Model-driven architecture ,business ,computer ,Advanced process control ,computer.programming_language - Abstract
Software is an important part of industrial process control systems. However, the state-of-the-practice for developing industrial process control software still has several key challenges that need to be addressed (e.g., migration to platforms of different vendors, lack of automation). This paper introduces a model-driven engineering approach to the development of industrial process control software, which is based on the ProcGraph domain-specific modeling language. The paper discusses and offers solutions to several of the development challenges that have not been addressed by existing techniques in the process controls domain. The contributions of the paper are a model-driven engineering approach for the industrial process control domain and a supporting tool infrastructure. The approach is demonstrated by a case study focused on the development of a control system for a TiO 2 (titanium dioxide) pigment production subprocess.
- Published
- 2010
29. Improved scatterometry time to solution for leading-edge logic applications
- Author
-
Matthew Sendelbach, Ted Dziura, Jason Ferns, Alok Vaid, Jon Madsen, and Serguei Komarov
- Subjects
Leading edge ,Engineering ,business.industry ,Logic gate ,Electronic engineering ,Semiconductor device modeling ,Stability (learning theory) ,Spectroscopic ellipsometry ,business ,Metal gate ,Advanced process control ,Metrology - Abstract
This paper describes an innovative approach to scatterometry modeling, significantly reducing time to solution compared to the industry's current best practices. One of the drawbacks to traditional scatterometry measurement techniques is the time required to optimize the model, which includes material optical constant extraction, model build time, initial model optimization, and model testing. A novel methodology that includes both stability and self-consistent scatterometry accuracy prediction can achieve an order of magnitude gain in productivity over prior methods. This technique creates opportunities among semiconductor chip manufacturers for wider scatterometry adoption at advanced technology nodes, where scatterometry is often the only reliable non-destructive metrology for device structure dimensions. The reductions in cycle time and improvements in accuracy prediction are keys to the success of scatterometry as an enabler for advanced process control and monitoring. This paper presents results on a conventional poly gate litho and final inspection and on leading-edge high-k metal gate after-etch applications. Spectroscopic ellipsometry is used to collect spectra from the gratings on the wafers. Then scatterometry results are obtained using the new approach and via traditional industry-accepted procedures to compare time to solution. To confirm the validity of the results, reference metrology data are collected on a CDSEM and TEM and the total measurement uncertainty is evaluated.
- Published
- 2010
30. Research and implementation of Course Teaching-Learning Process Management System
- Author
-
Hua Zhang, Jiecai Zheng, Xueqing Li, and Jiangman Xu
- Subjects
Process management ,computer.internet_protocol ,Computer science ,Process (engineering) ,business.industry ,Control (management) ,Workflow ,ComputingMilieux_COMPUTERSANDEDUCATION ,Process control ,Resource allocation ,Resource management ,Software engineering ,business ,computer ,XML ,Advanced process control - Abstract
This paper designs and implements a generic, complete Course Teaching-Learning Process Management System, it provides a flexible tracking and controlling of teaching-learning process and an effective management of teaching resources. The system proposes an automatic resource allocation algorithm to improve the efficient use of resources such as classrooms, computer-rooms, etc. The teaching-learning process tracking implements the process evaluation of students based on the data collected from their daily study. Workflow and rule engine modules are designed to support the complex control of teaching-learning process and to achieve the efficient management of teaching activities. With the system proposed in this paper, teaching managers can deal with the course teaching easily and control the course teaching-learning process effectively.
- Published
- 2009
31. Adaptive Metrology Sampling techniques enabling higher precision in variability detection and control
- Author
-
M.J. Scott and Chandra Mouli
- Subjects
Engineering ,Adaptive control ,Adaptive sampling ,business.industry ,Real-time computing ,Sampling (statistics) ,Process control ,Statistical process control ,business ,Fault detection and isolation ,Advanced process control ,Design for manufacturability - Abstract
In the era of nanotechnology, variability detection and control in the manufacturing process is vital in delivering products at high yields and at optimal cost. At Intel, DFM techniques are utilized to combat variability at all stages of product delivery-design, tape-out, mask generation, fab processing and assembly. Statistical process control (SPC), advanced process control (APC) and fault detection and classification (FDC) are examples of key capabilities used in the fab for variability detection, containment and correction on processing equipment and manufacturing process. This paper will focus on adaptive metrology sampling (AMS) and its role in variability detection and control during fab processing. AMS includes lot, wafer and field level metrology sampling strategies that varies the amount of sampling based on previous observations which include fab events and/or process control feedback. Adaptive sampling if implemented appropriately will improve precision in excursion detection, reduce detection time, minimize the quantity of silicon at risk and yield losses from such excursions. This paper will illustrate key strategies and techniques that should be considered for a successful AMS implementation. This includes details on system architecture, data inputs, sample material selection rules, and metrology capacity management strategy.
- Published
- 2007
32. Choosing Optimal Control Structure for Run-to-Run Control - A Thin Film Example
- Author
-
Nital S. Patel and R. Rajagopal
- Subjects
Context model ,Computer science ,Control theory ,Simple (abstract algebra) ,Process (computing) ,Process control ,Context (language use) ,Optimal control ,Advanced process control - Abstract
This paper presents a case study for determining the optimal structure of the run-to-run control algorithm for thin film processes. Specifically, the expected process disturbance characteristics are used to determine the nature of the control strategy. Two examples of thin film processes are considered $(i) one involving a simple one-step deposition, and (ii) the other involving two deposition steps, with feedback only possible at the end of both the steps. This paper shows that a unified control structure is capable of handling multiple process types, which traditionally have used different controllers (in terms of their structure), especially in the presence of process shifts and drifts. Furthermore, the paper will also touch upon how the choice of the controller structure depends on the number of context dependent free parameters in the model
- Published
- 2006
33. A Systematic Approach to Develop PLC Program for Automation of a Backwash Water Treatment Plant
- Author
-
Aamir Hanif, Tahir Mehmood, and M.A. Choudhry
- Subjects
Computer science ,business.industry ,Control system ,Embedded system ,Simatic S5 PLC ,Ladder logic ,Programmable logic controller ,Process control ,Industrial control system ,business ,Automation ,Advanced process control - Abstract
Programmable logic controllers (PLCs) are type of process control computers, which are small but relatively inexpensive, environmentally hardened, and easy to program, operate, maintain and repair. The process control computers primarily control devices such as motors, solenoids, pumps, valves, lights and heaters etc. These computers, which number in millions, are the control elements in the virtually all modern factory operations. The programmability of PLC allows for fast and easy changes in relay ladder logic to meet the changing needs of the process or driven equipment without the need for expensive and time consuming rewiring. In this paper a target to develop, simulate and implement PLC software for automation of a water treatment plant is achieved. The algorithm developed in this paper may be used to develop PLC based software for any control process
- Published
- 2005
34. Temperature control and in-situ fault detection of wafer warpage
- Author
-
Weng Khuen Ho, W. Chen, Khiang Wee Lim, C. Yap, and Arthur Tay
- Subjects
Engineering ,Wafer-scale integration ,Temperature control ,business.industry ,Hardware_PERFORMANCEANDRELIABILITY ,Fault (power engineering) ,Fault detection and isolation ,Reliability (semiconductor) ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Process control ,Wafer ,business ,Advanced process control - Abstract
Wafer warpage is common in microelectronics processing. Warped wafers can affect device performance, reliability and linewidth control in various processing steps. We proposed in this paper an in-situ fault detection technique for wafer warpage in lithography. Early detection will minimize cost and processing time. Based on first principle thermal modeling, we are able to detect warpage fault from available temperature measurements. In this paper, the use of advanced process control resulted in very small temperature disturbance making it suitable for industrial implementation. More importantly, the sensitivity for detecting warpage is not compromised even though the temperature signal is small. Experimental results demonstrate the feasibility of the approach.
- Published
- 2005
35. Benefits of APC at Infineon application examples from production fab Villach
- Author
-
M. Kalin
- Subjects
Process area ,Engineering ,Chemical concentration ,business.industry ,Production (economics) ,Process control ,business ,Manufacturing engineering ,Fault detection and isolation ,Reliability engineering ,Advanced process control - Abstract
APC (Advanced Process Control) has gained increasing attention over the past few years. It is supposed that it is a key for the success in increasing process stability/yield and productivity/costs. The paper gives a definition of the APC disciplines Fault Detection and Classification (FDC), Sensor Systems and Run-to-Run Control (R2R). It highlights the APC history and organization at Infineon, and status of implementation at Infineon's fab Villach, the application of APC in different process areas, examples of achieved benefits, and give an outlook for future requirements. The paper shows specific FDC application examples and benefits from the Infineon Villach fab, e.g. (i) CVD AMAT P5000: prevention of inhomogeneous layers. (ii) Epi AMAT Centura: prevention of slip-lines. (iii) PlasmaEtch: failure investigation on problem lots (tool, process). Automated analysis for critical tool components. Systematic analysis, e.g. for productivity increase. (iv) Litho Canon i5-stepper: prevention of "de-adjusted wafers and reduction of Tool-Alarms". (v) WetEtch: sensors for chemical concentration and defect density.
- Published
- 2004
36. Fundamental problems of networked control system from the view of control and scheduling
- Author
-
Youxian Sun, Shanbin Li, and Zhi Wang
- Subjects
Instrumentation and control engineering ,Automatic control ,Computer science ,Feed forward ,Control reconfiguration ,Control engineering ,Networked control system ,Industrial control system ,Gain scheduling ,Supervisory control ,Real-time Control System ,Control theory ,Control system ,Process control ,Hierarchical control system ,Advanced process control - Abstract
NCS (networked control system) is a kind of feedback control systems wherein the control loops are closed through real-time control network. The existence of real-time network in the feedback control loop makes the analysis and design of an NCS complex. Conventional control theories must be reevaluated before they can be applied to NCS. Numerous research papers have investigated analysis and design of NCS from different angles. This paper aims to introduce state of arts of NCS and point out the field of further researches.
- Published
- 2003
37. Advanced analysis of dynamic neural control advisories for process optimization and parts maintenance
- Author
-
A. Cao, W. Martin, J.P. Card, W.T. Chan, and J. Morgan
- Subjects
Setpoint ,Engineering ,Downtime ,business.industry ,Maintenance actions ,Process (computing) ,Process control ,Control engineering ,Process variable ,business ,Maintenance engineering ,Reliability engineering ,Advanced process control - Abstract
This paper details an advanced set of analyses designed to drive specific process variable setpoint adjustments or maintenance actions required for cost effective process control using the Dynamic Neural Controller/spl trade/ (DNC) wafer-to-wafer advisories for semiconductor manufacturing advanced process control. The new analytic displays and metrics are illustrated using data obtained on a LAM 4520XL at STMicroelectronics as part of a SEMATECH SPIT beta test evaluation. The DNC represents a comprehensive modeling environment that uses as its input extensive process chamber information and history of the time since maintenance actions occurred. The DNC uses a neural network to predict multiple quality output metrics and a closed-loop risk-based optimization to maximize process quality performance while minimizing overall cost of tool operation and machine downtime. The software responds in an advisory mode on a wafer-to-wafer basis as to the optimal actions to be taken. In this paper, we present three specific instances of patterns arising during wafer processing over time that signal the process or equipment engineer to the need for corrective action: either a process setpoint adjustment or specific maintenance actions. Based on the controller's recommended corrective action set with the overall risk reduction predicted by such actions, a metric of corrective action "urgency" can be created. The tracking of this metric over time yields different pattern types that signify a quantified need for a specific type of corrective action. Three basic urgency patterns are found: 1. a pattern in a given maintenance action over time showing increasing urgency or "risk reduction" capability for the action; 2. a pattern in a process variable specific to a given recipe indicating a chronic request over time to only adjust the variable setpoint either above or below the current target; 3. a pattern in a process variable existing over all recipes processed through the chamber indicating chronic request to adjust the variable setpoint in either or both directions over time. This pattern is a pointer to the need for a maintenance action that is either corroborated by the urgency graph for that maintenance action, or if no such action has been previously taken, a guide to the source of the equipment malfunction.
- Published
- 2003
38. Development and deployment of a multi-component Advanced Process Control system for an epitaxy tool
- Author
-
V. Solakhian, J. Moyne, M. Anderson, A. Yershov, and D. Mockler-Hebert
- Subjects
Overall equipment effectiveness ,Engineering ,Data visualization ,business.industry ,Component (UML) ,Embedded system ,Process control ,Open architecture ,business ,Fault detection and isolation ,Mean time to repair ,Advanced process control - Abstract
A multi-component Advanced Process Control (APC) system has been developed and deployed for an Applied Materials vapor phase epitaxy tool at Fairchild Semiconductor. The system, which is fully automated, operates on a SEMI APC framework compliant platform, supports the plug-and-play of multiple APC applications ("plug-ins"), and has configurable control rules that allow the user to specify not only how each APC application will be utilized, but also how the APC applications will interact in a complementary fashion. The current system contains real-time data visualization, run-to-run control (R2R), and fault detection and classification (FDC) plug-ins. The data visualization component is currently being utilized for real-time visual monitoring of aspects of equipment health. The R2R component includes a multivariate algorithm and is being used to provide multi-zone control of deposition thickness and resistivity. The FDC component will gather the in-process data from the tool to automatically determine equipment health and classify faults in order to reduce mean time to repair. In this paper, the methodology used to deploy and configure this APC system is described. Data is provided illustrating the use of each of these APC capabilities individually and in complementary fashion. Data analysis is included that focuses on the benefits of utilizing these capabilities, benefits such as improved equipment reliability, improved overall equipment effectiveness and yield. The paper also includes a discussion of the benefits and issues associated with the complementary utilization of multiple APC components in an open architecture framework.
- Published
- 2003
39. STA-APSNFIS: STA-Optimized Adaptive Pre-Sparse Neuro-Fuzzy Inference System for Online Soft Sensor Modeling
- Author
-
Churong Jiang, Jiezhou He, Yongfang Xie, Jinping Liu, Pengfei Xu, and Zhaohui Tang
- Subjects
Adaptive neuro fuzzy inference system ,General Computer Science ,Artificial neural network ,Neuro-fuzzy ,Computer science ,General Engineering ,Inference ,Soft sensor modeling ,state transition algorithm (STA) ,computer.software_genre ,Soft sensor ,complex industrial process ,Local optimum ,key performance indicator (KPI) ,General Materials Science ,Data mining ,Performance indicator ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,computer ,adaptive neuro-fuzzy inference system (ANFIS) ,lcsh:TK1-9971 ,Advanced process control - Abstract
In complex industrial processes (CIPs), due to technical and economic limitations, key performance indicators (KPIs), especially the chemical content-related KPIs, are often difficult to measure in real time, which hinders the propagation of advanced process control technologies. This paper presents a soft sensor-based online KPI inference scheme by a state transition algorithm (STA)-optimized adaptive pre-sparse neuro-fuzzy inference system model, called STA-APSNFIS. It introduces a pre-sparse neural network to the traditional adaptive neuro-fuzzy inference system (ANFIS) model to establish an adaptive pre-sparse neuro-fuzzy inference system (APSNFIS) model to alleviate the adverse effects of data redundancy and noise interference in the detectable process monitoring data, which can effectively reduce the complexity of neuro-fuzzy inference system (NFIS) and speed up its convergence. Successively, to avoid being trapped at a local optimum, the STA-based optimization algorithm is adopted to replace the traditional gradient-based optimization approach to achieve an optimal APSNFIS model. Extensive validation and comparative experiments on nonlinear numeric simulation systems, benchmark Tenessee Eastman (TE) process and a real industrial bauxite flotation process demonstrated that the proposed STA-APSNFIS performed favorably against traditional ANFIS model as well as its variants, e.g., PSO-ANFIS, GA-ANFIS, and some other soft sensor-based KPI inference models.
- Published
- 2020
40. APC in the semiconductor industry, history and near term prognosis
- Author
-
G.G. Barna
- Subjects
Engineering ,business.industry ,Semiconductor device modeling ,Univariate ,Process control ,Manufacturing operations ,Electronics ,business ,Fault detection and isolation ,Manufacturing engineering ,Technology forecasting ,Reliability engineering ,Advanced process control - Abstract
This paper presents an abridged history of Advanced Process Control (APC), including both Fault Detection and Classification (FDC) and Model Based Process Control (MBPC), both within TI and in the semiconductor industry. While TI was an early leader in univariate fault detection in processing tools, other manufacturers have by now implemented such methodologies. For MBPC, the MMST program gave TI a lead, but others are now following that path. For TI and the semiconductor industry as a whole, the current thrust is to develop and implement multivariate APC methods into the manufacturing operations. This paper describes the complexity of the execution of these tasks, and lists some of the available tools that are requisite for implementing these plans.
- Published
- 2002
41. Performance assessment: a requisite for maintaining your APC assets
- Author
-
Biao Huang, O. Badmus, A. Vishnubhotla, S.L. Shah, and D. Banks
- Subjects
Management information systems ,Engineering ,Control theory ,business.industry ,Process (computing) ,Information system ,Process control ,Process variable ,Loop performance ,business ,Advanced process control ,Reliability engineering - Abstract
This paper discusses the practical application of continuous performance assessment as a requisite for maintaining the advanced process control (APC) assets in the process industry. The commissioning of elaborate control system platforms, advanced control applications, and information management systems e.g. historians and databases etc., have become commonplace in the process industry. Incidentally, these investments have led to the accumulation of tremendous process data with few data-mining tools and control-relevant techniques for extracting information. In this paper, the theory of performance assessment is presented as a technique for continuously assessing the performance of the basic control loops in a plant, as a means towards maintaining APC assets. Continuous performance assessment allows detection of performance degradation in the basic control loops in a plant by monitoring the variance in the process variable and comparing it to that of a minimum variance controller. The resulting index gives an indication of the level of performance of the controller and an indication of the action required to improve its performance, e.g., re-tune the controller or consider process re-engineering. A process application of this technique will be demonstrated with the use of a software tool-ProcessDoc/sup TM/-which computes loop performance indices from archived routine operating data from an industrial process.
- Published
- 2002
42. The trouble with hairy controls
- Author
-
E.H. Bristol
- Subjects
Software ,Computer science ,Information model ,business.industry ,Systems engineering ,Software development ,Software design ,Software verification and validation ,business ,Control (linguistics) ,Data science ,Software quality control ,Advanced process control - Abstract
What process control calls advanced control has always been hard to understand and address operationally. For its users, like other forms of software, "It sucks!" (S. Alsop, 1996). The more recent commercial acceptance of mathematical advanced controls may in fact be more due to their clearer, more predictable, and therefore more contractible nature, than to their improved control. Professionals seriously involved in trying to re-establish traditional advanced controls in an economic environment where you have to run "to stay in the same place", should begin to understand the lessons of software applied to control. The key notion is information modeling, which relates to our familiar math modeling, but formalizes application organization for human analysis rather than mathematical analysis. Objects are the current information modeling buzz word, but patterns, building on objects, are a newer advance; both will be important to maturing the software profession, suggesting directions for control application discipline. The paper discusses the information modeling needed to integrate and discipline our control software. Previous papers have discussed a language, reflecting these ideas and targeting a minimal 3:1 improved readability and comprehensibility, demonstrable and translating to a 3:1 reduction in total cost of the application engineering. The paper briefly illustrates the discussion with a few of the language features.
- Published
- 2002
43. VM & process control system
- Author
-
Zhang Yongkang, Sun You-xian, and Wang Wen-hai
- Subjects
Engineering ,Instrumentation and control engineering ,Computer-integrated manufacturing ,business.industry ,Integrated Computer-Aided Manufacturing ,Process development execution system ,Computer-aided manufacturing ,Process control ,Control engineering ,business ,Industrial engineering ,Manufacturing execution system ,Advanced process control - Abstract
The purpose of the virtual manufacturing (VM) concept is to integrate existing manufacturing models, techniques of analysis and forms of representation in a consistent system in such a way that concurrent engineering within the planning of a new product or manufacturing system can be accomplished. In this paper, the VM concept in process control is put forward. Then, on the basis of the concept of process control, the DCS and the VM concept, an environment of an industrial control computer system including model creation, control, optimization and simulation is set up. For the example and testing of the VME for process control, the simulation system for a pulp and paper mill is established. The result of the simulation is satisfactory.
- Published
- 2002
44. Performance assessment of advanced process control algorithms using an interacting tank system
- Author
-
F.G. Jota, A.R. Braga, and R.T. Pena
- Subjects
Engineering ,Model predictive control ,Gain scheduling ,Automatic control ,Control theory ,business.industry ,Control system ,PID controller ,Process control ,Control engineering ,business ,Advanced process control - Abstract
The paper describes a controller performance assessment (CPA) method. The method is applied to evaluate advanced process control strategies using an interacting tank system (ITS). Since this plant has been specially designed with characteristics and problems inherent to the actual process industry environment, it is a test-bed with the necessary creditability for one to draw conclusions about controller performances. The CPA method aims at accomplishing the performance assessment of a control system, running on line, in real time. It is based on measurements of the control signal, the output signal and the error signal. The performance evaluation is centered on the system users' views. The users considered are: the system manager, the system operator and the control engineer. The method allows the development of comparative studies of the controllers' performances. The paper presents such studies for the following SISO controllers in a multi-loop strategy: generalized minimum variance (GMV), generalized predictive controller (GPC) and a fixed parameter PID. The performances' comparative studies for a MIMO-GMV and a multivariable fuzzy controllers are also presented.
- Published
- 2002
45. Issues of 120 V AC vs. 24 V DC process control systems
- Author
-
J.E. Tamblingson, D.C. Mohla, C.M. Wellman, and D.A. Schouten
- Subjects
Engineering ,Reliability (semiconductor) ,Instrumentation and control engineering ,business.industry ,Process (engineering) ,Control (management) ,Systems design ,Process control ,Industrial control system ,business ,Reliability engineering ,Advanced process control - Abstract
Today's engineers are faced with an ever-increasing number of choices in the design of industrial control systems. The selection of control voltage, while often perceived as a simple decision, has potentially the largest impact on the design and overall success of a system. This paper focuses on the comparison of application issues for two frequently used control schemes: 24 V DC and 120 V AC. Topics such as safety, device functionality, system design and wiring methods, reliability, and cost are explored to identify important considerations in the selection process.
- Published
- 2002
46. Distribution in control and innovation
- Author
-
S. Schooling
- Subjects
Engineering ,Knowledge management ,Real-time Control System ,business.industry ,Control system ,Control (management) ,Innovation management ,Hierarchical control system ,Distribution (economics) ,business ,Distributed control system ,Advanced process control - Abstract
In this paper an emerging trend towards distribution in the diverse disciplines of control systems engineering and innovation theory is identified. Developments in Distributed Control Systems (DCS) and Innovation Theory are discussed and the correlations between trends in the two disciplines are highlighted. The practical implications of distribution are then considered through a case study analysis of a research and development project that is developing an integrated environment for specification and implementation of open control systems. The paper highlights technological and innovation management lessons which may be of use to practitioners.
- Published
- 2002
47. Die chipping FDC development at wafer saw process
- Author
-
Dongpeng Xue, Elley Zhang, Weiting Jiang, Caiden Zhong, and Cong Zhang
- Subjects
Semiconductor device fabrication ,Computer science ,Electronic packaging ,Process (computing) ,Process control ,Wafer ,Hardware_PERFORMANCEANDRELIABILITY ,Die (integrated circuit) ,Fault detection and isolation ,Reliability engineering ,Advanced process control - Abstract
The die chipping which cause function failure always a key issue for BiCSX wafer saw. Traditionally, detecting the defects by die seal ring inspection after it has occurred has been large-scale adoption. However, this method has large limitation due to two factors. First is nuisance defect which may cause by manual classification; second is additional investment from detection machine. Fault Detection and Classification (FDC) as one part of Advanced Process Control (APC) has been widely studied in semiconductor manufacturing. FDC can detect the defects like die chipping by record and analysis equipment data during wafer saw. It can not only detect the defects but also help engineer to develop robust process. In this paper, the mechanism of die chipping and the interaction of equipment data with defects were studied. Successfully create a totally new FDC rule to detect the die chipping.
- Published
- 2021
48. Fully automated real-time recipe verification : SM: Smart Manufacturing, APC: Advanced Process Control
- Author
-
Marcel Stehli and Robert Barlovic
- Subjects
021103 operations research ,Job shop scheduling ,Computer science ,business.industry ,Recipe ,0211 other engineering and technologies ,0102 computer and information sciences ,02 engineering and technology ,01 natural sciences ,Automation ,Manufacturing engineering ,Data modeling ,Task (project management) ,010201 computation theory & mathematics ,Process control ,Performance indicator ,business ,Advanced process control - Abstract
The verification of a new or changed recipe is a common process control task in a semiconductor factory. It is also a prime example of the demanding integration challenges that Advanced Process Control (APC) is facing today. State-of-the-art process control solutions must be fully integrated with the work-in-progress (WIP) fabrication control solutions to enable full automation and to find the optimal tradeoff between competing Fab Key Performance Indicators (KPIs). The recipe verification presented in this paper illustrates a holistic strategy that brings these areas together.
- Published
- 2021
49. Identification and Control of an Industrial Thickener Using Historical Data
- Author
-
Khalid Benjelloun, Yassine Kali, Maarouf Saad, and Ridouane Oulhiq
- Subjects
0209 industrial biotechnology ,Arithmetic underflow ,business.industry ,Computer science ,020208 electrical & electronic engineering ,Process (computing) ,02 engineering and technology ,Data modeling ,Setpoint ,Model predictive control ,020901 industrial engineering & automation ,0202 electrical engineering, electronic engineering, information engineering ,Slurry ,Identifiability ,Process engineering ,business ,Advanced process control - Abstract
In the mining industry, thickeners are used to increase density of slurries by removing water. When a thickener is in operation, the underflow slurry density should follow a given setpoint and stay inside a boundary layer. In general, thickeners are manually controlled. However, industrial experience has shown that manual control is not sufficient. For this reason, an advanced process control strategy is proposed in this paper. On the one hand, using historical data, a linear dynamic model of the studied thickener is developed. In this regard, data is collected, prepared, and data informativity is studied to ensure model identifiability and interpretability. On the other hand, a model predictive control is designed to control the process by manipulating the feed and the underflow slurry flowrates. The simulation results show that the method can be successfully used to control the underflow slurry density in the presence of feed variations and unmeasured disturbances.
- Published
- 2021
50. Advanced Process Control of Distributed Parameter Plants by Integration First Principle Modeling and Case-Based Reasoning : Part 2: Case-Based Reasoning Control of DPP
- Author
-
Nencho Deliiski and Mincho Hadjiski
- Subjects
Mathematical optimization ,Computer science ,Control system ,Search engine indexing ,Trajectory ,Process control ,Local regression ,Case-based reasoning ,Structuring ,Advanced process control - Abstract
The Case-Based Reasoning (CBR) as a tight integration of the model-based and data-driven approaches in optimization and control of plants with distributed parameters (DPP) is considered into this second part of the paper. The main pecularities of the adopted modifications of CBR in accordance of the area of application are examined – structuring of search space as virtual version space, retrieval for different form of attribute-value presentations, efficient indexing and adaptation using local regression in order to improve retrieved solution into the direction to obtain query problem solving. The main results of the accomplished simulations are reported focusing both the maximum capacity and minimum heat consumption. The results show that suboptimal control of TTP based on modified CBR is a reasonable approach.
- Published
- 2020
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.