100 results on '"Stefan E. Schulz"'
Search Results
2. Classical and cubic Rashba effect in the presence of in-plane 4f magnetism at the iridium silicide surface of the antiferromagnet GdIr2Si2
- Author
-
G. Poelchen, Steffen Danzenbächer, M. Peters, Cornelius Krellner, M. Mende, Craig M. Polley, Evgueni V. Chulkov, Alexander Generalov, Thiagarajan Balasubramanian, Stefan E. Schulz, Denis V. Vyalikh, A. Yu. Vyazovskaya, Kristin Kliemt, Mikhail M. Otrokov, D. Yu. Usachov, Clemens Laubschat, and M. Güttler more...
- Subjects
Materials science ,Condensed matter physics ,Magnetic domain ,Magnetism ,Angle-resolved photoemission spectroscopy ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Paramagnetism ,0103 physical sciences ,Antiferromagnetism ,Condensed Matter::Strongly Correlated Electrons ,010306 general physics ,0210 nano-technology ,Spin (physics) ,Rashba effect ,Surface states - Abstract
We present a combined experimental and theoretical study of the two-dimensional electron states at the iridium-silicide surface of the antiferromagnet GdIr2Si2 above and below the Neel temperature. Using angle-resolved photoemission spectroscopy (ARPES) we find a significant spin-orbit splitting of the surface states in the paramagnetic phase. By means of ab initio density-functional-theory (DFT) calculations we establish that the surface electron states that reside in the projected band gap around the M¯ point exhibit very different spin structures which are governed by the conventional and the cubic Rashba effect. The latter is reflected in a triple spin winding, i.e., the surface electron spin reveals three complete rotations upon moving once around the constant energy contours. Below the Neel temperature, our ARPES measurements show an intricate photoemission intensity picture characteristic of a complex magnetic domain structure. The orientation of the domains, however, can be clarified from a comparative analysis of the ARPES data and their DFT modeling. To characterize a single magnetic domain picture, we resort to the calculations and scrutinize the interplay of the Rashba spin-orbit coupling field with the in-plane exchange field, provided by the ferromagnetically ordered 4f moments of the near-surface Gd layer. (Less) more...
- Published
- 2021
- Full Text
- View/download PDF
Catalog
3. Insight into the temperature evolution of electronic structure and mechanism of exchange interaction in EuS
- Author
-
Alexander Generalov, G. Poelchen, Kurt Kummer, A. V. Fedorov, Clemens Laubschat, Sergey V. Eremeev, Cornelius Krellner, Stefan E. Schulz, D.V. Vyalikh, Kristin Kliemt, D. Yu. Usachov, Eugene V. Chulkov, N. Kaya, C. Polley, Arthur Ernst, German Research Foundation, Russian Foundation for Basic Research, Saint Petersburg State University, Swedish Research Council, and European Commission more...
- Subjects
Coupling ,Physics ,Work (thermodynamics) ,электронная структура ,Condensed matter physics ,Exchange interaction ,Heterojunction ,02 engineering and technology ,Electronic structure ,021001 nanoscience & nanotechnology ,01 natural sciences ,Atomic orbital ,Phase (matter) ,0103 physical sciences ,General Materials Science ,температурная эволюция ,Physical and Theoretical Chemistry ,010306 general physics ,0210 nano-technology ,ферромагнитные полупроводники ,Mixing (physics) - Abstract
Discovered in 1962, the divalent ferromagnetic semiconductor EuS (TC = 16.5 K, Eg = 1.65 eV) has remained constantly relevant to the engineering of novel magnetically active interfaces, heterostructures, and multilayer sequences and to combination with topological materials. Because detailed information on the electronic structure of EuS and, in particular, its evolution across TC is not well-represented in the literature but is essential for the development of new functional systems, the present work aims at filling this gap. Our angle-resolved photoemission measurements complemented with first-principles calculations demonstrate how the electronic structure of EuS evolves across a paramagnetic–ferromagnetic transition. Our results emphasize the importance of the strong Eu 4f–S 3p mixing for exchange-magnetic splittings of the sulfur-derived bands as well as coupling between f and d orbitals of neighboring Eu atoms to derive the value of TC accurately. The 4f–3p mixing facilitates the coupling between 4f and 5d orbitals of neighboring Eu atoms, which mainly governs the exchange interaction in EuS., This work was supported by the German Research Foundation (DFG) through Grant No. KR3831/5-1, No. LA655/20-1, No. SFB1143 (Project No. 247310070), and No. TRR288 (422213477, project A03). We acknowledge support from the Russian Foundation for Basic Research (Grant No. 20-32-70127) and Saint-Petersburg State University (Grant No. ID 73028629). S.V.E. acknowledges support from a government research assignment for ISPMS SB RAS (Project FWRW-2019-0032). We acknowledge MAX-IV Laboratory for time on the Bloch Beamline under Proposal 20190824. Research conducted at MAX IV, a Swedish national user facility, is supported by the Swedish Research council under contract 2018-07152, the Swedish Governmental Agency for Innovation Systems under contract 2018-04969, and Formas under contract 2019-02496. The research has also been supported by the project CALIPSO plus under Grant Agreement 730872 from the EU Framework Programme for Research and Innovation HORIZON 2020. more...
- Published
- 2021
4. Photoelectron diffraction for probing valency and magnetism of 4f -based materials: A view on valence-fluctuating EuIr2Si2
- Author
-
Nubia Caroca-Canales, M. Mende, Artem V. Tarasov, Kurt Kummer, Hang Li, I. I. Tupitsyn, Shin-ichi Fujimori, D. Yu. Usachov, S. Seiro, Kirill A. Bokai, Stefan E. Schulz, G. Poelchen, C. Geibel, Clemens Laubschat, Kristin Kliemt, Matthias Muntwiler, Cornelius Krellner, Evgueni V. Chulkov, and Denis V. Vyalikh more...
- Subjects
chemistry.chemical_classification ,Diffraction ,Circular dichroism ,Materials science ,Valence (chemistry) ,Condensed matter physics ,Magnetism ,Valency ,02 engineering and technology ,Dichroism ,021001 nanoscience & nanotechnology ,01 natural sciences ,Spectral line ,Divalent ,Condensed Matter::Materials Science ,chemistry ,0103 physical sciences ,Condensed Matter::Strongly Correlated Electrons ,010306 general physics ,0210 nano-technology - Abstract
We present and discuss the methodology for modeling $4f$ photoemission spectra, $4f$ photoelectron diffraction (PED) patterns, and magnetic dichroism effects for rare-earth-based materials. Using PED and magnetic dichroism in photoemission, we explore the electronic and magnetic properties of the near-surface region of the valence-fluctuating material ${\mathrm{EuIr}}_{2}{\mathrm{Si}}_{2}$. For the Eu-terminated surface, we found that the topmost Eu layer is divalent and exhibits a ferromagnetic order below 10 K. The valency of the next Eu layer, that is the fifth atomic layer, is about 2.8 at low temperature that is close to the valency in the bulk. The properties of the Si-terminated surface are drastically different. The first subsurface Eu layer (fourth atomic layer below the surface) behaves divalently and orders ferromagnetically below 48 K. Experimental data indicate, however, that there is an admixture of trivalent Eu in this layer, resulting in its valency of about 2.1. The next deeper lying Eu layer (eighth atomic layer below the surface) behaves mixed valently, but the estimated valency of 2.4 is notably lower than the value in the bulk. The presented approach and obtained results create a background for further studies of exotic surface properties of $4f$-based materials, and allow us to derive information related to valency and magnetism of individual rare-earth layers in a rather extended area near the surface. more...
- Published
- 2020
- Full Text
- View/download PDF
5. Cubic Rashba Effect in the Surface Spin Structure of Rare-Earth Ternary Materials
- Author
-
Stefan E. Schulz, Kurt Kummer, Evgueni V. Chulkov, Alexander Generalov, M. Güttler, Eugene E. Krasovskii, Andrés F. Santander-Syro, Koji Miyamoto, Denis V. Vyalikh, I. A. Nechaev, Kristin Kliemt, A. P. Weber, Clemens Laubschat, A. Kraiker, Jaime Sánchez-Barriga, Steffen Danzenbächer, Taichi Okuda, T. Imai, D. Yu. Usachov, G. Poelchen, Cornelius Krellner, German Research Foundation, Agence Nationale de la Recherche (France), Ministerio de Ciencia, Innovación y Universidades (España), Ministerio de Economía y Competitividad (España), Agencia Estatal de Investigación (España), European Commission, Eusko Jaurlaritza, Saint Petersburg State University, Russian Foundation for Basic Research, and Helmholtz Association more...
- Subjects
Physics ,Condensed matter physics ,media_common.quotation_subject ,Rare earth ,Ab initio ,General Physics and Astronomy ,Spin structure ,01 natural sciences ,Asymmetry ,0103 physical sciences ,Antiferromagnetism ,Condensed Matter::Strongly Correlated Electrons ,010306 general physics ,Ternary operation ,Rashba effect ,Surface states ,media_common - Abstract
Spin-orbit interaction and structure inversion asymmetry in combination with magnetic ordering is a promising route to novel materials with highly mobile spin-polarized carriers at the surface. Spin-resolved measurements of the photoemission current from the Si-terminated surface of the antiferromagnet TbRh2Si2 and their analysis within an ab initio one-step theory unveil an unusual triple winding of the electron spin along the fourfold-symmetric constant energy contours of the surface states. A two-band k⋅p model is presented that yields the triple winding as a cubic Rashba effect. The curious in-plane spin-momentum locking is remarkably robust and remains intact across a paramagnetic-antiferromagnetic transition in spite of spin-orbit interaction on Rh atoms being considerably weaker than the out-of-plane exchange field due to the Tb 4f moments., This work was supported by the German Research Foundation (Grants No. KR-3831/5-1, No. LA655/20-1, GRK1621, Fermi-NESt No. ANR-16-CE92-0018, and SFB1143, project-id 247310070) and the Spanish Ministry of Science, Innovation, and Universities (Grant Nos. FIS2016-76617-P and MAT-2017-88374-P). We also acknowledge funding from the Department of Education of the Basque government (Grant No. IT1164-19), St. Petersburg State University (Project ID 51126254), and the Russian Foundation for Basic Research (Grant No. 20-32-70127). The SR-ARPES experiments at HiSOR were performed with the approval of the Proposal Assessing Committee of the Hiroshima Synchrotron Radiation Center (Proposal No. 18BG023). We also acknowledge the Impuls-und Vernetzungsfonds der Helmholtz Gemeinschaft (Grant No. HRSF-0067) more...
- Published
- 2020
6. Electrical Conductivity Modeling of Graphene-based Conductor Materials
- Author
-
Stefan E. Schulz, Koehne Martin, Andreas Zienert, Jörg Schuster, and Leo Rizzi
- Subjects
Nanocomposite ,Materials science ,Condensed matter physics ,Graphene ,02 engineering and technology ,Conductivity ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Conductor ,law.invention ,Macroscopic scale ,Electrical resistivity and conductivity ,law ,General Materials Science ,0210 nano-technology ,Electrical conductor ,Network model - Abstract
Graphene-based conductors such as films and fibers aim to transfer graphene's extraordinary properties to the macroscopic scale. They show great potential for large-scale applications, but there is a lack of theoretical models to describe their electrical characteristics. We present a network simulation method to model the electrical conductivity of graphene-based conductors. The method considers all of the relevant microscopic parameters such as graphene flake conductivity, interlayer conductivity, packing density, and flake size. To provide a mathematical framework, we derive an analytical expression, which reproduces the essential features of the network model. We also find good agreement with experimental data. Our results offer production guidelines and enable the systematic optimization of high-performance graphene-based conductor materials. A generalization of the model to any conductor based on two-dimensional materials is straightforward. more...
- Published
- 2018
- Full Text
- View/download PDF
7. The role of plasma analytics in leading-edge semiconductor technologies
- Author
-
Stefan E. Schulz, Norbert Lang, Sven Zimmermann, Jürgen Röpcke, Thomas Otto, and M. Haase
- Subjects
010302 applied physics ,Leading edge ,Materials science ,Plasma etching ,business.industry ,02 engineering and technology ,Plasma ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Semiconductor ,Analytics ,0103 physical sciences ,Optoelectronics ,Plasma diagnostics ,0210 nano-technology ,business ,Plasma processing - Published
- 2018
- Full Text
- View/download PDF
8. Crystallization of optically thick films of CoxFe80−xB20 : Evolution of optical, magneto-optical, and structural properties
- Author
-
Patrick Matthes, Cornelia Kowol, Apoorva Sharma, Olav Hellwig, Georgeta Salvan, Dietrich R. T. Zahn, Stefan E. Schulz, and Maria A. Hoffmann
- Subjects
Kerr effect ,Materials science ,Condensed matter physics ,Scattering ,Annealing (metallurgy) ,Physics::Optics ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Amorphous solid ,Condensed Matter::Materials Science ,law ,0103 physical sciences ,Crystallite ,Crystallization ,010306 general physics ,0210 nano-technology ,Spectroscopy - Abstract
CoFeB alloys are highly relevant materials for spintronic applications. In this work, the crystallization of CoFeB alloys triggered by thermal annealing was investigated by x-ray diffraction techniques and scanning electron microscopy, as well as spectroscopic ellipsometry and magneto-optical Kerr effect spectroscopy for annealing temperatures ranging from 300 to ${600}^{\ensuremath{\circ}}\mathrm{C}$. The transformation of \ensuremath{\sim}100-nm-thick ${\mathrm{Co}}_{x}{\mathrm{Fe}}_{(80\ensuremath{-}x)}{\mathrm{B}}_{20}$ films from amorphous to polycrystalline was revealed by the sharpening of spectral features observed in optical and magneto-optical dielectric functions spectra. The influence of B on the dielectric function was assessed both experimentally and by optical modeling. By analyzing the Drude component of the optical dielectric function, a consistent trend between the charge-carrier scattering time/resistivity and the annealing temperature was observed, in agreement with the electrical investigations by means of the four-point-probe method. more...
- Published
- 2020
- Full Text
- View/download PDF
9. Spin structure of spin-orbit split surface states in a magnetic material revealed by spin-integrated photoemission
- Author
-
Clemens Laubschat, Stefan E. Schulz, Kristin Kliemt, G. Poelchen, M. Güttler, Evgueni V. Chulkov, Denis V. Vyalikh, Cornelius Krellner, D. Yu. Usachov, Kurt Kummer, S. Seiro, Saint Petersburg State University, Russian Foundation for Basic Research, German Research Foundation, Agencia Estatal de Investigación (España), Ministerio de Ciencia, Innovación y Universidades (España), and Diamond Light Source (UK) more...
- Subjects
Physics ,Condensed matter physics ,media_common.quotation_subject ,02 engineering and technology ,Spin structure ,021001 nanoscience & nanotechnology ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,01 natural sciences ,Asymmetry ,Magnetization ,Coupling (physics) ,Condensed Matter::Materials Science ,Magnet ,0103 physical sciences ,Condensed Matter::Strongly Correlated Electrons ,010306 general physics ,0210 nano-technology ,Relativistic quantum chemistry ,Surface states ,Spin-½ ,media_common - Abstract
The emergence of ferromagnetism in Rashba systems, where the evolving exchange interaction enters into competition with spin-orbit coupling, leads to a nontrivial spin-polarized electronic landscape with an intricate momentum-dependent spin structure, which is challenging to unveil. Here, we show a way to disentangle the contributions from the effective spin-orbit and exchange fields and thus to gain knowledge of the spin structure in ferromagnetic Rashba materials, which is required for spintronic applications. Our approach is based exclusively on spin-integrated photoemission measurements combined with a two-band modeling. As an example, we consider the mixed-valent material EuIr2Si2 which, while being nonmagnetic in the bulk, reveals strong ferromagnetism at the iridium-silicide surface where both spin-orbit and exchange magnetic interactions coexist. The combined effect of these interactions causes a complex band dispersion of the surface state which can be observed in photoemission experiments. Our method allows us to comprehensively unravel the surface-state spin structure driven by spin-orbit coupling at the ferromagnetic surface. This approach opens up opportunities to characterize the spin structure of ferromagnetic Rashba materials, especially where dedicated spin-resolved measurements remain challenging., This work was supported by Saint Petersburg State University (Grant No. ID 51126254) and the Russian Foundation for Basic Research (Grant No. 20-32-70127). We acknowledge financial support from the Spanish Ministry of Economy (No. MAT-2017-88374-P) and the German Research Foundation (DFG) through Grants No. LA655/20-1, No. KR3831/5-1, and Fermi-NEst. We acknowledge Diamond Light Source for access to beamline I05 (Proposals No. SI18844-1 and No. SI17761-1). more...
- Published
- 2020
10. Effects of catalyst configurations and process conditions on the formation of catalyst nanoparticles and growth of single-walled carbon nanotubes
- Author
-
Stefan E. Schulz, Mandar Kini, Sascha Hermann, and Saeed Motaragheb Jafarpour
- Subjects
Materials science ,Annealing (metallurgy) ,Bilayer ,Catalyst support ,Nanotechnology ,02 engineering and technology ,Carbon nanotube ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,0104 chemical sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Catalysis ,law ,Surface roughness ,Gas composition ,Carbon nanotube supported catalyst ,Electrical and Electronic Engineering ,0210 nano-technology - Abstract
The synthesis of well-aligned and type-enriched semiconducting single-walled carbon nanotubes (sc-SWCNTs) with high quality by means of catalytic chemical vapour deposition (CCVD) on wafer-level are essential prerequisites for the implementation of nanodevices for sensor and electronic applications. In particular, the Co-Mo bilayer catalyst system is promising due to its ability to grow semiconducting enriched SWCNTs by CCVD. However, there is still a gap in understanding how to adjust catalyst properties aiming further improvements in SWCNTs film composition and morphology. In particular, surface morphological evolution during catalyst conditioning as well as its impact on SWCNT growth are not clearly understood. Here we present a systematic investigation on effects of catalyst support layer, catalyst preparation conditions, catalyst type and composition as well as gas composition for catalyst treatments on the size of catalyst nanoparticles (NPs) and the properties of CCVD grown SWCNTs. We show that H2 treatment favors the formation of small catalyst NPs with narrow size distribution in the case of Al2O3 support layer. Moreover, we correlate the growth rates, quality of SWCNTs structures and sc-SWCNTs content with the Co-Mo catalyst morphological evolution. Evolution of quality, height and sc-SWCNTs content with respect to the different catalyst configurations and catalyst deposition conditions.Display Omitted Using Al2O3 support layer with certain morphology and annealing under H2, catalyst NP with small size and narrow size distribution can be formed.Differentiated study on the effect of different bilayer CoźMo catalyst configuration on the properties of grown SWCNTs.Lowering catalyst deposition rate is a straightforward approach to fabricate catalyst layer with low surface roughness and small NP size.Bilayer CoźMo:0.5ź0.1nm prepared by low catalyst deposition rate shows a high potential for future applications. more...
- Published
- 2017
- Full Text
- View/download PDF
11. Corrigendum to 'A detailed ellipsometric porosimetry and positron annihilation spectroscopy study of porous organosilicate-glass films with various ratios of methyl terminal and ethylene bridging groups' [Microporous Mesoporous Mater. 306 (2020) 110434]
- Author
-
M. Redzheb, L. Zhang, Alexey S. Vishnevskiy, Yue Han Wu, Sergej Naumov, D. S. Seregin, Maciej Oskar Liedke, Stefan E. Schulz, Jihperng Leu, Yanrong Wang, Mikhail R. Baklanov, Jing Zhang, Andreas Wagner, Ahmed G. Attallah, K. A. Vorotilov, M. Rasadujjaman, and N. Koehler more...
- Subjects
Ethylene ,Materials science ,Bridging (networking) ,General Chemistry ,Microporous material ,Porosimetry ,Condensed Matter Physics ,Positron annihilation spectroscopy ,chemistry.chemical_compound ,Chemical engineering ,chemistry ,Mechanics of Materials ,General Materials Science ,Porosity ,Mesoporous material - Published
- 2021
- Full Text
- View/download PDF
12. A detailed ellipsometric porosimetry and positron annihilation spectroscopy study of porous organosilicate-glass films with various ratios of methyl terminal and ethylene bridging groups
- Author
-
M. Rasadujjaman, Yanrong Wang, M. Redzheb, D. S. Seregin, Jihperng Leu, Mikhail R. Baklanov, Stefan E. Schulz, L. Zhang, Alexey S. Vishnevskiy, Yue Han Wu, K. A. Vorotilov, Ahmed G. Attallah, N. Koehler, Andreas Wagner, Maciej Oskar Liedke, Jing Zhang, and Sergej Naumov more...
- Subjects
Materials science ,Ethylene ,Methyltrimethoxysilane ,02 engineering and technology ,General Chemistry ,Porosimetry ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,0104 chemical sciences ,Positron annihilation spectroscopy ,Silanol ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Mechanics of Materials ,General Materials Science ,Fourier transform infrared spectroscopy ,0210 nano-technology ,Porosity ,Curing (chemistry) - Abstract
Organosilicate-glass films with a varying ratio of terminal methyl and bridging ethylene groups are synthesized using 1,2-bis(trimethoxysilyl)ethane/methyltrimethoxysilane mixtures and sol-gel technology. The films are characterized by Fourier Transform Infrared spectroscopy, Ellipsometric Porosimetry and Positron Annihilation Spectroscopy. The hard bake at 400 °C generates the final pore structure, which depends on the curing environment. It is shown that ethylene bridge is destructed during the hard bake in the air via formation of peroxide radicals that form ≡SiOH during the transformation. Continuous hard bake leads to condensation of silanol groups and form a structure similar to the ordinary silica. The pore size of highly porous materials (>30%) is larger in air cured films. Destruction of the ethylene bridge makes the films matrix soft and micropores collapse due to the capillary forces during the template evaporation. It leads to the film shrinkage, increases the size of internal voids. The air cured samples showed better mechanical properties than N2 cured ones although in the last case ethylene bridging groups were preserved. The reason is that the collapse of micropores increase the internal density and creates more favorable condition for condensation of silanol groups. more...
- Published
- 2020
- Full Text
- View/download PDF
13. Advanced Characterization Methods for Electrical and Sensoric Components and Devices at the Micro and Nano Scales
- Author
-
Evgeniya Sheremet, Peter Meszmer, Thomas Blaudeck, Susanne Hartmann, Christian Wagner, Bing Ma, Sascha Hermann, Bernhard Wunderle, Stefan E. Schulz, Michael Hietschold, Raul D. Rodriguez, Dietrich R. T. Zahn, and Publica more...
- Subjects
Materials Chemistry ,Surfaces and Interfaces ,Electrical and Electronic Engineering ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Abstract
The present study covers the nanoanalysis methods for four key material characteristics: electrical and electronic properties, optical, stress and strain, and chemical composition. With the downsizing of the geometrical dimensions of the electronic, optoelectronic, and electromechanical devices from the micro to the nanoscale and the simultaneous increase in the functionality density, the previous generation of microanalysis methods is no longer sufficient. Therefore, the metrology of materials' properties with nanoscale resolution is a prerequisite in materials' research and development. The article reviews the standard analysis methods and focuses on the advanced methods with a nanoscale spatial resolution based on atomic force microscopy (AFM): current-sensing AFM (CS-AFM), Kelvin probe force microscopy (KPFM), and hybrid optical techniques coupled with AFM including tip-enhanced Raman spectroscopy (TERS), photothermal-induced resonance (PTIR) characterization method s (nano-Vis, nano-IR), and photo-induced force microscopy (PIFM). The simultaneous acquisition of multiple parameters (topography, charge and conductivity, stress and strain, and chemical composition) at the nanoscale is a key for exploring new research on structure-property relationships of nanostructured materials, such as carbon nanotubes (CNTs) and nano/microelectromechanical systems (N/MEMS). Advanced nanocharacterization techniques foster the design and development of new functional materials for flexible hybrid and smart applications. more...
- Published
- 2019
14. Interaction between carbon nanotubes and metals: Electronic properties, stability, and sensing
- Author
-
Andreas Zienert, Jörg Schuster, Florian Fuchs, Stefan E. Schulz, Christian Wagner, and Publica
- Subjects
Materials science ,Condensed Matter - Mesoscale and Nanoscale Physics ,Band gap ,Diffusion ,Binding energy ,Ab initio ,FOS: Physical sciences ,Nanotechnology ,Electronic structure ,Carbon nanotube ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Metal ,Chemical physics ,law ,visual_art ,Mesoscale and Nanoscale Physics (cond-mat.mes-hall) ,visual_art.visual_art_medium ,Density functional theory ,Electrical and Electronic Engineering - Abstract
The interactions between carbon nanotubes (CNTs) and metal adatoms as well as metal contacts are studied by means of ab initio electronic structure calculations. We show that the electronic properties of a semiconducting (8,4) CNT can be modified by small amounts of Pd adatoms. Such a decoration conserves the piezoelectric properties of the CNT. Besides the electronic influence, the stability of a single adatom, which is of big importance for future technology applications, is investigated as well. We find only small energy barriers for the diffusion of a Pd adatom on the CNT surface. Thus, single Pd adatoms will be mobile at room temperature. Finally we present results for the interaction between a metallic (6,0) CNT and metal surfaces. Binding energies and distances for Al, Cu, Pd, Ag, Pt, and Au are discussed and compared, showing remarkable agreement between the interaction of single metal atoms and metal surfaces with CNTs., Comment: 6 pages, 7 figures more...
- Published
- 2015
- Full Text
- View/download PDF
15. Influence of porosity and methyl doping inside silica network: An electron diffraction and DFTB analysis
- Author
-
Stefan E. Schulz, Michael Hietschold, Steffen Schulze, Sven Zimmermann, Thomas Frauenheim, Jan M. Knaup, and Pradeep K. Singh
- Subjects
Silicon ,Chemistry ,chemistry.chemical_element ,General Chemistry ,Dielectric ,Condensed Matter Physics ,Radial distribution function ,Amorphous solid ,Bond length ,Tight binding ,Molecular geometry ,Electron diffraction ,Mechanics of Materials ,Computational chemistry ,Chemical physics ,General Materials Science - Abstract
The continuous scaling of transistor size towards deep submicron level demands an inevitable replacement of SiO 2 with a low-k dielectric material. Doping of methyl groups in SiO 2 network reduces the dielectric constant by replacing oxygen atoms bonded with silicon and creating a lower polarizable silicon methyl bond in the network. Further reduction in dielectric constant is possible by introducing porosity in the dense low-k network. The detailed knowledge about atomic structure of such low-k materials is a must for understanding their electronic and optical properties. In this study, electron diffraction and (density functional based tight binding) DFTB calculations are used to investigate the structure of dense and porous low-k dielectric materials. The radial distribution function (RDF) obtained from electron diffraction technique contains information about the short-range order present in the material. Bond lengths and bond angles determined from RDF indicate a significant modification occurring in the SiO 2 network after doping with methyl groups. The local density of the materials is also derived from radial distribution function. It depends on the number and distances of nearest neighbors and was found to be decreased in these low-k materials compared with amorphous SiO 2 . more...
- Published
- 2014
- Full Text
- View/download PDF
16. Wetting Behavior of Plasma Etch Residue Removal Solutions on Plasma Damaged and Repaired Porous ULK Dielectrics
- Author
-
Stephan Krüger, Nicole Ahner, Stefan E. Schulz, Sven Zimmermann, and Nicole Kohler
- Subjects
Materials science ,Plasma etching ,Analytical chemistry ,Low-k dielectric ,Wet cleaning ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Resist ,Plasma-enhanced chemical vapor deposition ,General Materials Science ,Dry etching ,Wetting ,Composite material ,Plasma processing - Abstract
Porous ultra low constant materials (ULK) for isolation within the interconnect system of integrated circuits are a promising approach to reduce crosstalk and RC-delays due to shrinking feature sizes [1]. Due to their porosity and the integration of carbon rich species like methyl groups into the Si-O-Si backbone of currently fabricated PECVD SiCOH dielectrics those materials are highly sensible towards plasma processing, e.g. dry etching or resist stripping [2]. Metal hard mask approaches, e.g. using TiN hard masks are widely used to prevent the resist stripping plasma directly attacking the low-k material [3]. To reduce further plasma damage like carbon depletion and formation of polar silanol groups the development of less aggressive etching processes is in the focus of research and development activities. Nevertheless dry etching will attack the sidewalls and cause a material degradation. That is why repair processes, mainly based on silylation, are considered to follow the patterning step to reintegrate carbon rich species and to recover the dielectric’s properties [3]. Subsequently to dry etching and repairing the dielectric the wet chemical plasma etch residue removal process is performed. Besides material compatibility and effectiveness in residue removal the wetting behavior of the applied cleaning solutions towards the surface which has to be cleaned is crucial, especially looking on wetting issues like the incomplete wetting of very small via holes or pattern collapse. In this study we investigate in which way different silylation based repair processing regimes are affecting the wettability of the dielectric by water based cleaning solutions using contact angle based surface energy calculations. more...
- Published
- 2014
- Full Text
- View/download PDF
17. Exchange bias and diffusion processes in laser annealed CoFeB/IrMn thin films
- Author
-
Georgeta Salvan, Alexander Horn, Sandra Busse, P. Mack, Stefan E. Schulz, Horst Exner, Oleksandr Selyshchev, Maria A. Hoffmann, Patrick Matthes, Dietrich R. T. Zahn, and Apoorva Sharma
- Subjects
Materials science ,Magnetoresistance ,Spintronics ,business.industry ,Magnetometer ,Annealing (metallurgy) ,Condensed Matter Physics ,Computer Science::Other ,Electronic, Optical and Magnetic Materials ,law.invention ,Condensed Matter::Materials Science ,Magnetization ,Exchange bias ,law ,Optoelectronics ,Wafer ,Thin film ,business - Abstract
The performance of exchanged biased tunnel junctions strongly relies on the annealing process finalizing the fabrication process, which is applied to set a pinned reference magnetization, as well as to enhance the magnetoresistance ratio through the crystallization of the CoFeB layers. With the increasing demand in terms of integration and scalability of tunnel magnetoresistive elements, a laser-induced annealing process presents several advantages against traditional oven annealing technique. It provides the possibility to locally set an individual reference magnetization at the micrometer scale to enable e.g. a Wheatstone bridge or multidimensional sensor fabrication on a wafer level. This study presents the influence of laser-induced localized annealing on the magnetic properties of an exchanged biased CoFeB/IrMn system. The diffusion processes occurring at the interface of CoFeB/IrMn are analyzed in detail utilizing X-ray photoemission spectroscopy depth profiling technique and the results are compared to those obtained by standard vacuum oven annealing and correlated to the magnetic properties investigated by magneto-optical Kerr effect magnetometry. more...
- Published
- 2019
- Full Text
- View/download PDF
18. Spectroscopic ellipsometry and magneto-optical Kerr effect spectroscopy study of thermally treated Co60Fe20B20 thin films
- Author
-
Olav Hellwig, Stefan E. Schulz, Ramona Ecke, Georgeta Salvan, Maria A. Hoffmann, Patrick Matthes, Shun Okano, Dietrich R. T. Zahn, and Apoorva Sharma
- Subjects
Materials science ,Kerr effect ,Condensed matter physics ,Annealing (metallurgy) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Amorphous solid ,Magneto-optic Kerr effect ,Ellipsometry ,0103 physical sciences ,X-ray crystallography ,General Materials Science ,Thin film ,010306 general physics ,0210 nano-technology ,Spectroscopy - Abstract
We report the optical and magneto-optical properties of amorphous and crystalline Co60Fe20B20 films with thicknesses in the range of 10 nm to 20 nm characterized using spectroscopy ellipsometry (SE) and magneto-optical Kerr effect (MOKE) spectroscopy. We derived the spectral dependence of the dielectric tensor from experimental data for samples prior and after annealing in vacuum. The features of the dielectric function can be directly related to the transitions between electronic states and the observed changes upon annealing can be ascribed to an increase of the crystalline ordering of CoFeB. more...
- Published
- 2019
- Full Text
- View/download PDF
19. Carbon Nanotubes for Mechanical Sensor Applications
- Author
-
Christian Wagner, Stefan E. Schulz, Florian Fuchs, Thomas Blaudeck, Peter Meszmer, Bernhard Wunderle, Simon Böttger, Sascha Hermann, and Jörg Schuster
- Subjects
Materials science ,law ,Materials Chemistry ,Nanotechnology ,Mechanical sensor ,Surfaces and Interfaces ,Carbon nanotube ,Electrical and Electronic Engineering ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention - Published
- 2019
- Full Text
- View/download PDF
20. Sensoric Micro and Nano Systems
- Author
-
Stefan E. Schulz, Danny Reuter, Thomas Otto, Karla Hiller, Dietrich R. T. Zahn, and Christian Wagner
- Subjects
Materials science ,Nano ,Materials Chemistry ,Nanotechnology ,Surfaces and Interfaces ,Electrical and Electronic Engineering ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Published
- 2019
- Full Text
- View/download PDF
21. Electroforming-free resistive switching in yttrium manganite thin films by cationic substitution
- Author
-
Rajkumar Patra, Venkata Rao Rayapati, Nan Du, Stefan E. Schulz, Hartmut Stöcker, Heidemarie Schmidt, Daniel Blaschke, Danilo Bürger, Patrick Matthes, and Ilona Skorupa
- Subjects
010302 applied physics ,Arrhenius equation ,Materials science ,Condensed matter physics ,General Physics and Astronomy ,chemistry.chemical_element ,02 engineering and technology ,Yttrium ,021001 nanoscience & nanotechnology ,Thermal conduction ,Manganite ,01 natural sciences ,Space charge ,symbols.namesake ,chemistry ,0103 physical sciences ,Electroforming ,Electrode ,symbols ,Thin film ,0210 nano-technology - Abstract
We report unipolar resistive switching in polycrystalline, hexagonal yttrium manganite thin films grown on unpatterned Pt metal coated SiO2/Si substrates with circular Al top electrodes. Electroforming-free or electroforming-based resistive switching is observed, depending on the chemical composition (Y1Mn1O3, Y0.95Mn1.05O3, Y1Mn0.99Ti0.01O3, and Y0.94Mn1.05Ti0.01O3). The number of loading cycles measured at room temperature for samples with Y1Mn1O3 and Y0.95Mn1.05O3 composition is larger than 103. The dominant conduction mechanism of the metal–insulator–metal structures between 295 K and 373 K in the high resistance state is space charge limited conduction and in the low resistance state is ohmic conduction. Activation energies in Ohm's law region in the high resistance state are calculated from the Arrhenius equation and are evaluated to be 0.39 ± 0.01 eV (Y1Mn1O3), 0.43 ± 0.01 eV (Y0.95Mn1.05O3), 0.34 ± 0.01 eV (Y1Mn0.99Ti0.01O3), and 0.38 ± 0.02 eV (Y0.94Mn1.05Ti0.01O3). more...
- Published
- 2019
- Full Text
- View/download PDF
22. Advanced Characterization Methods for Electrical and Sensoric Components and Devices at the Micro and Nano Scales
- Author
-
Christian Wagner, Thomas Blaudeck, Michael Hietschold, Stefan E. Schulz, Bing Ma, Sascha Hermann, Evgeniya Sheremet, Peter Meszmer, Bernhard Wunderle, Susanne Hartmann, Raul D. Rodriguez, and Dietrich R. T. Zahn more...
- Subjects
Materials science ,Atomic force microscopy ,Nanotechnology ,Surfaces and Interfaces ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Scanning probe microscopy ,symbols.namesake ,Characterization methods ,Nano ,Materials Chemistry ,symbols ,Electrical and Electronic Engineering ,Raman spectroscopy - Published
- 2019
- Full Text
- View/download PDF
23. Photosensitive Field‐Effect Transistors Made from Semiconducting Carbon Nanotubes and Non‐Covalently Attached Gold Nanoparticles
- Author
-
Thomas Blaudeck, Sebastian Notz, Andrea Preuß, Stefan E. Schulz, Rafael G. Mendes, Susanne Hartmann, Sebastian Scharf, Alexander Kossmann, Sascha Hermann, Thomas Gemming, Heinrich Lang, and Laura Kasper more...
- Subjects
Materials science ,Nanotechnology ,Surfaces and Interfaces ,Flow chemistry ,Carbon nanotube ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Nanoelectronics ,Colloidal gold ,Covalent bond ,law ,Materials Chemistry ,Field-effect transistor ,Electrical and Electronic Engineering - Published
- 2019
- Full Text
- View/download PDF
24. The dielectric response of low-k interlayer dielectric material characterized by electron energy loss spectroscopy
- Author
-
Jan M. Knaup, Pradeep K. Singh, Sven Zimmermann, Thomas Frauenheim, Stefan E. Schulz, Michael Hietschold, and Steffen Schulze
- Subjects
Kramers–Kronig relations ,Materials science ,Condensed matter physics ,Band gap ,Electron energy loss spectroscopy ,Analytical chemistry ,General Chemistry ,Dielectric ,Condensed Matter Physics ,Amorphous solid ,Mechanics of Materials ,Density of states ,General Materials Science ,Porosity ,Absorption (electromagnetic radiation) - Abstract
In this study, the dielectric response of low-k dielectric materials has been characterized by electron energy loss spectroscopy. A widely accepted fast Fourier transform based Kramers–Kronig method (Johnson, 1975) [3] has been used to derive the high-frequency response of these materials. We used three different low-k dielectric materials to find out the effect of porosity and carbon content on the dielectric response of materials. Amorphous SiO 2 and SiC:H samples are used for comparison. All samples were deposited by plasma-enhanced chemical vapor deposition technique. A Lorentzian-based oscillator model has been used to determine the eigen-energy of excitations by fitting the measured loss function. The model is specially designed to let all oscillator parameters move freely and find their most probable position by using a least square fitting analysis procedure. The band gap for amorphous SiO 2 which corresponds to the first absorption peak in the imaginary part of dielectric function is found to be at around 9 eV. We observed that in the case of dense low-k material, there appears a finite density of states (DOS) inside the band gap of SiO 2 , whereas the inclusion of porosity into dense low-k network diminishes the in-gap DOS and widens the band gap to around 10 eV. more...
- Published
- 2014
- Full Text
- View/download PDF
25. Controlling SWCNT assembling density by electrokinetics
- Author
-
Stefan E. Schulz, John D. Mai, Haibo Yu, Wen J. Li, Sascha Hermann, and Zaili Dong
- Subjects
Electromagnetic field ,Materials science ,Metals and Alloys ,Nanotechnology ,Carbon nanotube ,Dielectrophoresis ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Physics::Fluid Dynamics ,Condensed Matter::Materials Science ,Electrokinetic phenomena ,Chemical physics ,law ,Electric field ,Electrohydrodynamics ,Electrical and Electronic Engineering ,Net force ,Joule heating ,Instrumentation - Abstract
Single-walled carbon nanotubes (SWCNTs) as the colloid in a colloidal solution can be polarized in a non-uniform electric field and experience a net force that is the so-called dielectrophoresis (DEP) force, due to the interaction between the induced dipoles and the electric field. The positive DEP force can be used to position and assemble arrays of SWCNTs. Inversely, the negative DEP force can be utilized to separate SWCNTs in terms of their electronic properties. Moreover, Joule heating generated by the electric field can lead to other electrokinetics forces in the colloidal solution, which give rise to fluidic motion of the solution. Additionally, at low frequencies, the electrical double layer also induces a steady fluidic motion, a phenomenon known as AC electroosmotic flow. These fluidic motion in turn exerts a drag force on the nanotubes. Hence, to controllably assemble SWCNTs using DEP force is a non-trivial task. In this article, the mechanisms of electrokinetics and electrohydrodynamics are systematically analyzed through numerical simulations for a set of parameters that are typically used for assembling SWCNTs between metal electrodes. Finally, experimental results from the frequency-dependent assembly of SWCNTs using this set of parameters are described and discussed. These results show that the density of SWCNTs assembled between electrodes can be varied by controlling the electrokinetics parameters. more...
- Published
- 2013
- Full Text
- View/download PDF
26. Investigation of CH4, NH3, H2 and He plasma treatment on porous low-k films and its effects on resisting moisture absorption and ions penetration
- Author
-
Hai-Sheng Lu, Xin-Ping Qu, Knut Gottfried, Nicole Ahner, Stefan E. Schulz, and Publica
- Subjects
Materials science ,Moisture absorption ,Moisture ,Plasma ,Penetration (firestop) ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Ion ,Chemical engineering ,Resist ,Sputtering ,Environmental chemistry ,Electrical and Electronic Engineering ,Porosity - Abstract
This paper investigates the influence of CH"4, NH"3, H"2 and He plasma on properties of porous low-k film and its effects on resisting moisture absorption during CMP and ions penetration from sputtering. It is found that the H"2, He, NH"3 plasma can cause aggressive carbon depletion in the porous low-k films and change the low-k surface from hydrophobic to hydrophilic, which will induce moisture uptake into the low-k material during the CMP process, and result in increase of the k value and leakage current density. The CH"4 plasma can make low-k material more resist against moisture uptake and keep the k value stable and a good electrical property of the low-k films. more...
- Published
- 2013
- Full Text
- View/download PDF
27. Determination of Surface Energy Characteristics of Plasma Processed Ultra Low-K Dielectrics for Optimized Wetting in Wet Chemical Plasma Etch Residue Removal
- Author
-
Nicole Ahner, Sven Zimmermann, Matthias Schaller, and Stefan E. Schulz
- Subjects
Plasma etching ,Materials science ,Analytical chemistry ,Wet cleaning ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surface energy ,Resist ,Chemical engineering ,General Materials Science ,Wetting ,Porosity ,Plasma processing - Abstract
The integration of porous ultra low dielectric constant materials (ULK) for isolation within the interconnect system of integrated circuits is a promising approach to reduce RC-delays and crosstalk due to shrinking feature sizes [1]. Actually the focus is on porous CVD-SiCOH materials, which consist of a Si-O-Si backbone and organic species (e.g. CH3) to lower polarizability and prevent moisture uptake to remarkably decrease the k-value [2]. The integration of porous low-k materials is very challenging, especially looking at patterning, resist stripping and etch residue removal, where commonly plasma processing has been applied. But plasma processing of ULK materials, especially using oxygen plasmas, is known to degrade electrical, optical and structural material properties by removing carbon from the film and densification of the surface near areas of the ULK [5]. Carbon depletion may also lead to the incorporation of-OH groups, which easily form silanols and therefore increase moisture absorption and k-values [2]. Besides the development of nondamaging plasma processes, wet cleaning is a promising alternative to avoid ULK damage while removing organic plasma etch residues. Additionally wet cleaning steps are always necessary to remove inorganic residues, which do not form volatile reaction products and can therefore not be removed by plasma processing. more...
- Published
- 2012
- Full Text
- View/download PDF
28. Influence of thermal cycles on the silylation process for recovering k-value and chemical structure of plasma damaged ultra-low-k materials
- Author
-
Matthias Schaller, Stefan E. Schulz, Nicole Ahner, Tobias Fischer, Sven Zimmermann, and Publica
- Subjects
Materials science ,Silylation ,Plasma ,Dielectric ,Photoresist ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Silanol ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Forensic engineering ,Immersion (virtual reality) ,Electrical and Electronic Engineering ,Porosity ,Curing (chemistry) - Abstract
The integration of porous ultra low-k (ULK) materials within the interconnect system of integrated circuits is one of the most promising approaches to reduce RC-delay or crosstalk. The application of plasma processes for patterning, cleaning and photoresist removal is known to degrade the electrical parameters of the ULK by carbon depletion, densification and introduction of silanol species. In this study we investigate the influence of different thermally assisted immersion processes on the effectiveness of a silylation based k-restore process of plasma damaged porous low-k dielectrics. The results show that network recovery effects like methyl incorporation are different at the surface and in the depth of the damaged area addicted to precursor temperature and curing conditions. The most promising process sequence was shown to consist of an immersion at temperatures of 100-150 °C with subsequent UV anneal depending on the precursor used for recovery process. more...
- Published
- 2012
- Full Text
- View/download PDF
29. Optimized Wetting Behavior of Water-Based Cleaning Solutions for Plasma Etch Residue Removal by Application of Surfactants
- Author
-
Matthias Schaller, Nicole Ahner, Sven Zimmermann, and Stefan E. Schulz
- Subjects
chemistry.chemical_classification ,Materials science ,Plasma etching ,Chromatography ,Plasma cleaning ,Wet cleaning ,Polymer ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surface energy ,Chemical engineering ,chemistry ,General Materials Science ,Wetting ,Dry etching - Abstract
Wet chemical plasma etch residue removal is a promising alternative to low-k dielectric degrading plasma cleaning processes. With decreasing feature dimensions the wetting behavior of the liquid on low energetic surfaces present after dielectric patterning will be an important issue in developing wet cleaning solutions. High surface energy liquids may not only be unable to wet low energetic surfaces, but can also cause nonwetting of small structures or pattern collapse. The improvement of the wetting behavior of a cleaning liquid by lowering its surface energy by the addition of surfactants is the strategy followed in this study. We show that with choosing the appropriate rinsing solution a wet chemical process using surfactant aided cleaning solutions compatible to the materials used in BEOL (porous low-k, copper, barriers) can be found. The results show a distinct improvement of the wetting behavior of the modified solutions on several low energetic solid surfaces like copper or polymers deposited during dry etching. more...
- Published
- 2012
- Full Text
- View/download PDF
30. Modeling of TDDB in advanced Cu interconnect systems under BTS conditions
- Author
-
H. Wolf, Thomas Gessner, Reinhard Streiter, P. Blský, Oliver Aubel, Stefan E. Schulz, and Publica
- Subjects
Interconnection ,Materials science ,Dielectric strength ,Biasing ,Time-dependent gate oxide breakdown ,Dielectric ,Integrated circuit ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Reliability (semiconductor) ,law ,Forensic engineering ,Electronic engineering ,Node (circuits) ,Electrical and Electronic Engineering - Abstract
Due to the shrinking of the device size in integrated circuits together with the use of novel, less stable low-k back-end-of-line dielectrics more attention has to be paid to the time dependent dielectric breakdown (TDDB) effect. In this work the TDDB mechanisms are investigated and modeled based on constant-voltage bias-temperature stress (BTS) experiments from the 90 nm and 45 nm technology nodes. The modeling of the I-t dependencies is based on the numerical model of Haase in which it is assumed that the degradation of the dielectric is caused just by the electronic leakage current itself. By two simple modifications of the model and an adjustment of several model parameters it was possible to achieve a very good agreement between the model and the experiment for single constant-voltage BTS dependencies. For two different experimental data sets from the 45 nm technology node the TDDB behavior in dependence on the bias voltage and temperature is analyzed and compared with the results of the modified Haase model and the Poole-Frenkel lifetime model. more...
- Published
- 2012
- Full Text
- View/download PDF
31. Variable-shaped e-beam lithography enabling process development for future copper damascene technology
- Author
-
Philipp Jaschinsky, Peter Kuecher, K. Schulze, Christoph Hohle, Jens-Wolfram Erben, Stefan E. Schulz, Martin Freitag, Kang-Hoon Choi, Thomas Gessner, Katja Steidel, Manuela Gutsch, and F. Blaschta
- Subjects
Interconnection ,Materials science ,Nanotechnology ,Integrated circuit ,Condensed Matter Physics ,Engineering physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,Hardware_INTEGRATEDCIRCUITS ,Cathode ray ,Wafer ,Electrical and Electronic Engineering ,Photolithography ,Lithography ,Electron-beam lithography ,Electronic circuit - Abstract
To realize fast and efficient integrated circuits the interconnect system gains an increasing importance. In particular, this is the case for logic and processor circuits with up to 12 metallization layers. In order to optimize this technology and the according processes it is desirable to generate flexible test structures in small lot production. In opposition to standard optical lithography using masks, Electron Beam Direct Write (EBDW) lithography can rapidly deliver special test structures at low cost. Furthermore, critical dimensions of future technology nodes which are not yet manufacturable by standard optical lithography tools can be produced. In this paper we demonstrate the potential of the 50kV variable shaped EBDW cluster for patterning of future back-end-of-line (BEOL) structures on full 200mm wafers. The patterned wafers have been used to develop next generation copper damascene interconnect processes for critical dimensions down to 50nm. more...
- Published
- 2011
- Full Text
- View/download PDF
32. How to evaluate surface free energies of dense and ultra low-κ dielectrics in pattern structures
- Author
-
Matthias Schaller, Stefan E. Schulz, Le Jiang, Kornelia Dittmar, and Thomas Oszinda
- Subjects
Range (particle radiation) ,Auger electron spectroscopy ,Materials science ,Chemistry ,Analytical chemistry ,Dielectric ,Plasma ,Condensed Matter Physics ,Molecular physics ,Atomic and Molecular Physics, and Optics ,Surface energy ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Contact angle ,Surface roughness ,Wetting ,Atomic physics ,Electrical and Electronic Engineering - Abstract
A method to evaluate the surface free energy in pattern structure, of chemical vapor deposited dense and ultra low-κ (ULK) SiOCH dielectric films, is presented. Therefore dense and ultra low-κ films were treated by different post ash plasma processes. This films were characterized using Auger electron spectroscopy, atomic force microscopy and contact angle measurements. For both material systems a correlation between the amount of surface near carbon and the surface free energy was found, independent on the plasma chemistry used. The range of the surface roughness is very small and does not have a strong impact on the surface free energy. The correlation model can be applied for pattern structures. After measuring the carbon concentration at the side walls by methods like TEM-EELS or XPS the model provides the polar and dispersive part of the surface free energy. Having the surface free energy, contact angle of different liquids on or in pattern structures can be calculated. Hence, the wetting behavior and the probability of pattern collapse can be predicted, which is essential to select an appropriate chemical for cleaning and other wet chemical based processes. more...
- Published
- 2011
- Full Text
- View/download PDF
33. Influence of the additives argon, O2, C4F8, H2, N2 and CO on plasma conditions and process results during the etch of SiCOH in CF4 plasma
- Author
-
Sven Zimmermann, Nicole Ahner, Thomas Gessner, Matthias Schaller, Norbert Lang, J Röpcke, Stefan E. Schulz, H. Rülke, H. Zimmermann, and F. Blaschta
- Subjects
Plasma etching ,Argon ,Analytical chemistry ,Low-k dielectric ,chemistry.chemical_element ,Plasma ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Dissociation (chemistry) ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Sputtering ,Fluorine ,Electrical and Electronic Engineering ,Reactive-ion etching - Abstract
Reactive ion etch processes for modern interlevel dielectrics become more and more complex, especially for further scaling of interconnect dimensions. The materials will be damaged within such processes with the result of an increase in their dielectric constants. The capability of selected additives to minimize the low-k sidewall damage during reactive ion etching (RIE) of SiCOH materials in fluorocarbon plasmas was shown in different works in the past. Most of the investigated additive gases alter the fluorine to carbon ratio as well as the dissociation of the parent gas inside the etch plasma. The result is a changed etch rate, a modified polymerization behavior and other characteristics of the process induced SiCOH damage. Heavy inert ions like argon will be accelerated to the sample surface in the cathode dark space and enhance therewith the sputter yield on the SiCOH network [1]. In this paper the additives Ar, O"2, C"4F"8, H"2, N"2 and CO were added to a conventional CF"4 etch plasma. We try to provoke different changes in the plasma conditions and therewith in the process results. Contact angle measurements, spectroscopic ellipsometry, Hg-probe analysis, FTIR measurements and SEM cross-sections were used to overview the additive induced modifications. To understand the influences of the additives gases more exactly, changes in the physical and chemical plasma behavior must be analyzed. Therefore quadrupole mass spectrometry (QMS) and quantum cascade laser absorption spectroscopy (QCLAS) were used. more...
- Published
- 2011
- Full Text
- View/download PDF
34. Investigations regarding Through Silicon Via filling for 3D integration by Periodic Pulse Reverse plating with and without additives
- Author
-
Thomas Gessner, Lutz Hofmann, Stefan E. Schulz, and Ramona Ecke
- Subjects
Interconnection ,Materials science ,Silicon ,Through-silicon via ,Pulse (signal processing) ,business.industry ,chemistry.chemical_element ,Integrated circuit ,Condensed Matter Physics ,Process complexity ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry ,law ,Plating ,Forensic engineering ,Optoelectronics ,Process control ,Electrical and Electronic Engineering ,business - Abstract
In this contribution we show experimental investigations regarding Periodic Pulse Reverse (PPR) plating for the filling of Through Silicon Vias that are aimed for the use in 3D integration applications. The purpose of this method is to prevent the use of plating additives that induce high process complexity in terms of process control and high process costs due to the high consumption of those additives. We therefore compare the effect of PPR plating without additives to that effect of PPR plating with additives. In first results with non-optimized PPR plating we already show the large gain in step coverage during TSV filling compared to standard DC plating. more...
- Published
- 2011
- Full Text
- View/download PDF
35. Chemical Repair of Plasma Damaged Porous Ultra Low-k SiOCH Film using a Vapor Phase Process
- Author
-
Stefan E. Schulz, Thomas Oszinda, and Matthias Schaller
- Subjects
Materials science ,Chemical engineering ,Renewable Energy, Sustainability and the Environment ,Scientific method ,Vapor phase ,Materials Chemistry ,Electrochemistry ,Plasma ,Composite material ,Condensed Matter Physics ,Porosity ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Abstract
A vapor phase based silylation process was used to restore plasma damaged porous ultra low-κ SiOCH dielectric films. The process was carried out with eleven different silylation agents. After processing of blanked wafers, the restoration performance was characterized by different analytic techniques like Fourier Infrared and Auger electron spectroscopy as well as contact angle and mercury probe measurements. Quantum mechanics calculations and practical results suggest three repair chemicals having two reactive groups to be most promising. However, a comparable electrical improvement, i.e. κ-value improvement was achieved with chemicals having one reactive group. A thin dielectric layer formation was found to be one explanation for this effect. This is supported by a high surface free energy recovery after the repair process, without carbon incorporation near the surface. Hence, depending on the requirement to the restoration (κ-value, carbon restoration, etc.) chemicals having one reactive group can be sufficient as well. more...
- Published
- 2010
- Full Text
- View/download PDF
36. Carbon nanotubes for nanoscale low temperature flip chip connections
- Author
-
Barbara Pahl, Thomas Gessner, Sascha Hermann, Stefan E. Schulz, and Ramona Ecke
- Subjects
Thermal copper pillar bump ,Materials science ,business.industry ,Contact resistance ,Copper interconnect ,Nanotechnology ,Carbon nanotube ,Sputter deposition ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Electrical contacts ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,Optoelectronics ,Metallizing ,Electrical and Electronic Engineering ,business ,Flip chip - Abstract
In this work we demonstrate a new approach for ultra fine flip chip interconnections based on carbon nanotubes as a wiring material. In contrast to other works we show patterned growth of multi walled CNTs on substrates with pre-structured bond pads including a complete metallization system for electrical characterization. Furthermore, we succeeded achieving a reliable flip chip connection between CNT-covered contact pads and metal pads at temperatures lower than 200^oC. Our goal is a reversible electrical and mechanical chip assembly with CNT bumps. For bonding experiments and electrical characterization a test structure with a damascene metallization including a layer stack of TiN/Cu/TiN was prepared. For CNT growth a thin nickel catalyst layer was selectively deposited with sputtering and a lift-off technique on the contact pads. The CNTs were grown by thermal CVD with ethylene as carbon source. CNT growth parameters like catalyst thickness, gas composition, growth time and temperature were optimized to get dense CNT growth. The metal bumps of the counter chip consist of electroless deposited Ni. With the selected layout we can obtain daisy chain and four-point measurements for lossless determination of single contact resistance. We have obtained reliable electrical contacts with relatively small resistance reaching values as low as 2.2@W. As CNT-quality is strongly dependent on the growth temperature we observed a strong change in resistivity of the flip chip connection as the growth temperature was varied. Reliability tests showed long time stability under thermal stress proving a reliable electrical contact between the contact pads. There is an appropriate potential for further optimization of the CNT bump resistance and applying this technology for IC-devices. more...
- Published
- 2010
- Full Text
- View/download PDF
37. Analysis of the impact of different additives during etch processes of dense and porous low-k with OES and QMS
- Author
-
Stefan E. Schulz, H. Rülke, Nicole Ahner, Matthias Schaller, F. Blaschta, Sven Zimmermann, and Thomas Gessner
- Subjects
Argon ,Plasma etching ,Scanning electron microscope ,Analytical chemistry ,chemistry.chemical_element ,Low-k dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Spectral line ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Ellipsometry ,Sputtering ,Electrical and Electronic Engineering ,Reactive-ion etching - Abstract
The focus of this paper is the impact of CF"4 based plasma etch processes with the additives argon and C"4F"8 on material properties and geometrical parameters of etched trenches using dense and porous SiCOH. Argon and C"4F"8 were added to change the radical to ion composition and to shift the carbon to fluorine ratio, respectively. With several techniques, FTIR, spectral ellipsometry and contact angle measurements, modifications in the structure of the materials and their surface conditions were analyzed. To understand the influences of the additives on the plasma conditions, optical emission spectroscopy (OES) and quadrupole mass spectrometry (QMS) were used to estimate the composition of the plasma insitu. For the additive argon, a slightly enhanced etch rate and an increased refractive index due to serious plasma damage for porous SiCOH was observed. At higher Ar flow rates peaks of Si"2O"4H"x clusters in the QMS spectra and increased CO and O lines, measured with OES, indicate a higher sputter yield on the SiCOH network. SEM cross-sections show, that argon has no effect on the sidewall geometry of etched trenches. A higher CH/CN line in the OES spectra indicates an enhanced sputter effect of the SiCN films in via bottoms. For C"4F"8 addition results of spectral ellipsometry show a decreased etch rate and refractive index. Using FTIR the formation of a polymer film on the surface was observed. Higher C"2 lines in the OES spectra are indications of enhanced polymerization efficiency. Finally, the addition of C"4F"8 decreases the etch rate in the trench sidewalls and therewith assumedly the sidewall damage. more...
- Published
- 2010
- Full Text
- View/download PDF
38. Investigation of physical and chemical property changes of ultra low-κ SiOCH in aspect of cleaning and chemical repair processes
- Author
-
Stefan E. Schulz, Daniel Fischer, Thomas Oszinda, Christine Walsh, and Matthias Schaller
- Subjects
Auger electron spectroscopy ,Analytical chemistry ,chemistry.chemical_element ,Porosimetry ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surface energy ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Contact angle ,chemistry ,Wetting ,Electrical and Electronic Engineering ,Reactive-ion etching ,Chemical property ,Carbon - Abstract
The physical and chemical property changes of chemical vapor deposited ultra low-@k (ULK) SiOCH dielectric films due to different post ash treatments were studied by Auger electron spectroscopy, ellipsometric porosimetry and surface free energy evaluation. Structural changes in the ULK layer with respect to the carbon content were analyzed. Using a downstream and a reactive ion etch process for photo resist removal a reduction of carbon was observed. For different plasma gas chemistries the pore size reduction depends first on the process condition (downstream or reactive ion etch) and then on the gas. Differences in the pore size then also influence the amount of carbon depletion besides the influence of the gases used for photo resist processes. The damage at the surfaces was characterized by contact angle measurements providing both the polar and dispersive part of the surface free energy. The wettability of different solvents and repair chemicals was classified calculating their surface free energies and comparing those energies with the surface free energies of modified ULK surface. It is shown that especially reducing gases provide a surface free energy with a higher dispersive part compared to oxidative plasma treatments. Furthermore it was found that the wettability of repair chemicals and solvents strongly changes for reductive based strip processes with plasma exposure time, since a high variation of the surface free energy occur. more...
- Published
- 2010
- Full Text
- View/download PDF
39. Surface Energy and Wetting Behaviour of Plasma Etched Porous SiCOH Surfaces and Plasma Etch Residue Cleaning Solutions
- Author
-
Eugene C. Baryschpolec, Matthias Schaller, Christin Bartsch, Stefan E. Schulz, and Nicole Ahner
- Subjects
Materials science ,Plasma etching ,Plasma cleaning ,Analytical chemistry ,Wet cleaning ,Plasma ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surface energy ,Contact angle ,Chemical engineering ,General Materials Science ,Wetting ,Porosity - Abstract
The removal of plasma etch residues by wet cleaning is an alternative or additional process to plasma processes, which are known to degrade low-k and ultralow-k dielectric materials. Besides Cu/low-k compatibility wetting is an important issue for wet cleaning. Surface energy of solid and liquid is the key to understand the wetting behaviour. In this study we examined the energetic character of plasma etched/stripped solid surfaces, etch polymers and several cleaning solutions by contact angle measurements. The results show, that variations of the etching process can heavily change the energetic character of the solid. Calculating the surface energies of solid and liquid provides the possibility to make a prediction if a cleaning liquid will wet the surface which has to be cleaned. more...
- Published
- 2009
- Full Text
- View/download PDF
40. Controlling the formation of nanoparticles for definite growth of carbon nanotubes for interconnect applications
- Author
-
Sascha Hermann, Stefan E. Schulz, Ramona Ecke, Thomas Gessner, and Publica
- Subjects
Materials science ,Scanning electron microscope ,Nanoparticle ,chemistry.chemical_element ,Nanotechnology ,Carbon nanotube ,Chemical vapor deposition ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Nanoelectronics ,Chemical engineering ,chemistry ,law ,Transmission electron microscopy ,Electrical and Electronic Engineering ,Tin ,Layer (electronics) - Abstract
Our interest is the integration of carbon nanotubes (CNT) in electronic devices (IC, NEMS). In the scope of this work, we present a study on the preparation of the catalyst Ni particles from ultrathin films and the synthesis of carbon nanotubes by the chemical vapour deposition method. For the preparation, we use a cold-wall CVD reactor especially designed for handling samples up to a size of a 4"" wafer. We show the influence of different process conditions like temperature, initial layer thickness of catalyst and substrate on particle formation characterized by scanning electron microscopy (SEM). We show that the optimization of process conditions in the catalyst preparation phase is constitutive for dense CNT films. Regarding the application of CNTs as electrical interconnects, we studied the arrangement of nanoparticles on Al and TiN supporting layer. Furthermore, we fabricated the first test structures for the selective growth of CNTs out of contact holes on a Cu/TiN metallization layer system. The growth of multi-walled nanotubes (MWNTs) was performed with thermal CVD with ethylene as a precursor gas and hydrogen as supporting gas mixed in a nitrogen gas flow. The effects of growth condition on the quality and morphology of the CNTs were characterized by scanning electron microscopy, transmission electron microscopy (TEM) and Raman spectroscopy. The influence of temperature, gas composition and substrate on CNT growth will be presented. We managed to grow dense CNTs even at temperatures as low as 500 °C. more...
- Published
- 2008
- Full Text
- View/download PDF
41. Spectroscopic ellipsometry study of thin diffusion barriers of TaN and Ta for Cu interconnects in integrated circuits
- Author
-
Dietrich R. T. Zahn, Cameliu Himcinschi, Christoph Cobet, Stefan E. Schulz, Thomas Gessner, S. J. Louis, Marion Friedrich, Norbert Esser, S. Rudra, S. D. Silaghi, Sven Zimmermann, and Thomas Wächtler
- Subjects
Analytical chemistry ,Tantalum ,chemistry.chemical_element ,Surfaces and Interfaces ,Dielectric ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Tantalum nitride ,chemistry ,Sputtering ,Ellipsometry ,Electrical resistivity and conductivity ,Materials Chemistry ,Electrical and Electronic Engineering ,Thin film ,Layer (electronics) - Abstract
The objective of this work is to study the optical and electrical properties of tantalum nitride and tantalum barrier thin films used against copper diffusion in Si in integrated circuits using spectroscopic ellipsometry in the VUV and UV-visible range. Single layers of tantalum nitride and bilayer films of Ta/TaN were produced by reactive magnetron sputtering on Si(100) substrates covered with a native oxide layer. Ellip-sometric measurements were performed in the energy range from 0.73-8.7 eV and the dielectric functions were simulated using Drude-Lorentz model and effective medium mation (EMA) in order to obtain information regarding film thickness, film composition, free carrier plasma energy, mean relaxation time and electrical resistivity. The film thickness clearly affects the electrical resistivity and the electron mean free path. It was observed that for films of Ta on TaN even after maintaining the deposition condition suitable for the β-phase of Ta, it turned out to be a mixture of α- and β-phases with higher contribution of the α-phase. It is shown that even a very small intermixture of two different phases of Ta can be determined accurately using ellipsometry. more...
- Published
- 2008
- Full Text
- View/download PDF
42. Characterisation of the barrier formation process of self-forming barriers with CuMn, CuTi and CuZr alloys
- Author
-
Christian A. Kaufmann, Stefan E. Schulz, Ramona Ecke, Mathias Franz, Jakob Kriz, and Publica
- Subjects
010302 applied physics ,Materials science ,Annealing (metallurgy) ,Scanning electron microscope ,Alloy ,Metallurgy ,Intermetallic ,02 engineering and technology ,engineering.material ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,X-ray photoelectron spectroscopy ,Transmission electron microscopy ,0103 physical sciences ,engineering ,Electrical measurements ,Electrical and Electronic Engineering ,Composite material ,Thin film ,0210 nano-technology - Abstract
In this work three elements were investigated as Cu alloys for the self-forming barrier approach: Mn, Ti and Zr. Firstly pure alloy films were prepared in the concentration range from 3 to 9at.%. The thin films were analysed with four point probe, X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and wafer bow measurements. These methods were used to determine the as-deposited state and the influence of the thermal annealing to the alloy. Additional to this, wafers were prepared with a layer stack of 50nm alloy and 500nm pure copper for electrical measurements. The diffusion behaviour of the alloying element was analysed with energy dispersive X-ray spectroscopy (EDX) and scanning electron microscope (SEM) images. It was shown that Mn and Ti will diffuse through 500nm pure copper film. In contrast for Zr no diffusion was proved. It is forming an intermetallic phase and therefore remains in the alloy film. Transmission electron microscope (TEM) images of the interface show an enrichment of each alloying elements at the silicon oxide interface after the annealing step. This indicates the ability for barrier self formation of all three elements. The barrier effectiveness against Cu diffusion was proved on MIS structures with BTS and TVS measurements. Display Omitted more...
- Published
- 2016
43. A plasma assisted in situ restoration process for sidewall damaged ULK dielectrics
- Author
-
Sven Zimmermann, Stefan E. Schulz, Tobias Fischer, N. Koehler, and Publica
- Subjects
In situ ,Materials science ,Nanotechnology ,02 engineering and technology ,01 natural sciences ,Octamethylcyclotetrasiloxane ,chemistry.chemical_compound ,0103 physical sciences ,Microelectronics ,Irradiation ,Electrical and Electronic Engineering ,Porosity ,010302 applied physics ,Dimethylsilane ,business.industry ,Plasma ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Ion source ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Chemical engineering ,chemistry ,0210 nano-technology ,business - Abstract
This paper describes a novel in situ restoration process to repair damaged porous low-k materials by a plasma assisted approach. The main advantage is the enhanced repair efficiency due to the formation of small plasma activated multiple repairing fragments as well as the in situ handling to avoid a further k-value increase by water uptake. A liquid repair chemistry was evaporated and inserted into downstream microwave plasma to form activated species with repair character and to prevent the contact of porous low-k materials with UV irradiation and ion bombardment. In this study Octamethylcyclotetrasiloxane (OMCTS) and Bis(dimethylamino)dimethylsilane (DMADMS) were chosen for blanket samples with a k-value of 2.4. Furthermore OMCTS was investigated on patterned ULK trench structures of 62nm width. Besides the OMCTS flow rate, the addition of oxygen, methane or nitrogen was studied with regards to the formation of repair fragments and restoration efficiency. Display Omitted more...
- Published
- 2016
44. Study on TSV isolation liners for a Via Last approach with the use in 3D-WLP for MEMS
- Author
-
Stefan E. Schulz, Ramona Ecke, M. Rennau, Franz Selbmann, Thomas Geβner, Lutz Hofmann, Tobias Fischer, Thomas Werner, and Publica
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,01 natural sciences ,chemistry.chemical_compound ,Parylene ,Plasma-enhanced chemical vapor deposition ,0103 physical sciences ,Deposition (phase transition) ,Electrical and Electronic Engineering ,010302 applied physics ,Microelectromechanical systems ,business.industry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Selective deposition ,Electronic, Optical and Magnetic Materials ,Tetraethyl orthosilicate ,chemistry ,Hardware and Architecture ,Optoelectronics ,0210 nano-technology ,business ,Layer (electronics) - Abstract
This paper discusses approaches for the isolation of deep high aspect ratio through silicon vias (TSV) with respect to a Via Last approach for micro-electro-mechanical systems (MEMS). Selected TSV samples have depths in the range of 170EL270 µm and a diameter of 50 µm. The investigations comprise the deposition of different layer stacks by means of subatmospheric and plasma enhanced chemical vapour deposition (PECVD) of tetraethyl orthosilicate; Si(OC2H5)4 (TEOS). Moreover, an etch-back approach and the selective deposition on SiN were also included in the investigations. With respect to the Via Last approach, the contact opening at the TSV bottom by means of a specific spacer-etching method have been addressed within this paper. Step coverage values of up to 74 % were achieved for the best of those approaches. As an alternative to the SiO2-isolation liners a polymer coating based on the CVD of Parylene F was investigated, which yields even higher step coverage in the range of 80 % at the lower TSV sidewall for a surface film thickness of about 1000 nm. Leakage current measurements were performed and values below 0.1 nA/cm2 at 10 kV/cm were determined for the ParyleneF films which represents a promising result for the aspired application to Via Last MEMS-TSV. more...
- Published
- 2016
45. Thermal stability and gap-fill properties of spin-on MSQ low-k dielectrics
- Author
-
M. Rennau, Stefan E. Schulz, F. Blaschta, and Nicole Ahner
- Subjects
Spin coating ,Materials science ,business.industry ,Low-k dielectric ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Optics ,law ,Ellipsometry ,Shallow trench isolation ,Thermal stability ,Electrical and Electronic Engineering ,Composite material ,business ,Leakage (electronics) ,Mercury probe - Abstract
Looking onto integration of low-k materials within FEOL used processing temperatures in this field are much higher than within BEOL. In addition partly high aspect ratio features have to be filled without defects, e.g. within usage of spin-on low-k materials for shallow trench isolation. We evaluated two MSQ-based spin-on dielectrics, a porous ultralow-k material and a dense spin-on glass regarding their thermal stability and gap-fill behaviour. The films were annealed from standard curing temperatures up to temperatures of 850^oC and 900^oC, film thickness and refractive index were measured by spectral ellipsometry, electrical film properties were evaluated by a mercury probe measurement and changes within chemistry are studied by FTIR. Both low-k materials are thermally stable up to temperatures of 650-700^oC. Above this range the film thickness is rapidly decreasing, refractive index and corresponding to that the k-value are strongly increasing, as does the leakage current density. FTIR spectra show a shift within Si-O-Si backbone and Si-CH"3 and CH"3 bonds are vanishing, while OH groups are adsorbed, additionally leading to higher k-value and leakage currents. Both materials show very good gap-fill properties, filling features with aspect ratios up to 5 or 10 and Aluminium covered structures without any visible defects. more...
- Published
- 2007
- Full Text
- View/download PDF
46. Evaluation of Air Gap structures produced by wet etch of sacrificial dielectrics: Critical processes and reliability of Air Gap formation
- Author
-
Thomas Gessner, Stefan E. Schulz, and K. Schulze
- Subjects
Materials science ,Cantilever ,Low-k dielectric ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Resist ,Forensic engineering ,Undercut ,Dry etching ,Electrical and Electronic Engineering ,Composite material ,Air gap (plumbing) ,Buffered oxide etch - Abstract
Two Air Gap technologies were investigated concerning critical process steps. Both approaches use SiO"2 for sacrificial material and buffered HF wet etch chemistry. These critical processes include pre-wet-etch-concerns and wet-etch-concerns. The results of a special spacer etch-back process are shown. A buffer layer of SiO"2 was introduced to relax the requirements on the dry back-etch process. The oxidation of SiC and SiCN films during dry etching and resist stripping is an issue of both technologies, because this may lead to an undercut of the interconnect lines during the buffered HF treatment. Nevertheless, this can be successfully avoided by the application of appropriate oxygen (O"2) free process media. Furthermore, the shifting of mechanical behaviour of such structures as a result of wet-etch treatment is investigated. The intrinsic stress of cantilever SiC films has the capability to cause pull-off forces to interfaces which may result in film delamination. more...
- Published
- 2007
- Full Text
- View/download PDF
47. Phosphane copper(I) complexes as CVD precursors
- Author
-
Thomas Waechtler, Thomas Gessner, Nina Roth, Heinrich Lang, Alexander Jakob, Stefan E. Schulz, and Publica
- Subjects
Materials science ,Silicon ,Inorganic chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,General Chemistry ,Condensed Matter Physics ,Layer thickness ,Copper ,Decomposition ,Surfaces, Coatings and Films ,Crystal ,Crystallography ,chemistry ,Materials Chemistry ,Particle ,Particle size ,Deposition (law) - Abstract
The synthesis of a series of phosphane copper(I) complexes of structural type [(R 3 P) m CuX] ( R = n Bu, Et, OMe; m = 2, 3; X = acetylacetonate, 4-iminopent-2-en-2-olate, picolinate, 2-(pyridin-2-yl)acetate) is presented. Possible decomposition mechanisms for these metal-organic complexes will be discussed. The use of [((MeO) 3 P) 2 Cu(acac)] ( 4a ) and [(Et 3 P) 3 Cu(acac)] ( 4b ) as CVD precursors in the deposition of copper onto TiN-coated oxidised silicon substrates using a low-pressure horizontal hot-wall CVD reactor at 250 °C ( 4a ) or 350 °C ( 4b ) deposition temperature is presented as well. Depending on the evaporation temperature and the heating rate, precursor 4a produced wire-like copper structures (particle size 650 nm, layer thickness 8.6–11.2 μm) or not completely closed layers with particle sizes of 600–1500 nm and a layer thickness of 1.1–1.4 μm. Experiments with precursor 4b resulted in the formation of non-conformal layers (crystal size 700–1100 nm, layer thickness 1.4–1.8 μm). more...
- Published
- 2007
- Full Text
- View/download PDF
48. Evaluation of air gap structures produced by wet etch of sacrificial dielectrics: Extraction of keff for different technology nodes and film permittivity
- Author
-
K. Schulze, Thomas Gessner, and Stefan E. Schulz
- Subjects
Permittivity ,Materials science ,business.industry ,Copper interconnect ,Low-k dielectric ,Integrated circuit ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,Chemical-mechanical planarization ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Porosity ,Buffered oxide etch - Abstract
Air gaps are a promising alternative to porous low-k dielectrics to achieve ultra low k-values in Cu damascene interconnects. Two approaches of air gap formation using wet etch back of sacrificial PECVD SiO"2 dielectrics were evaluated concerning their achievable effective k-values. Finite element method (FEM) simulations were performed to extract the effective k-value for different technology nodes. General k"e"f"f extraction procedure by FEM simulation is described. Furthermore the impact of variation of thickness and k-value of the functional layers applied for air gap formation was investigated. These functional layers are etch stop, cap and mask layers and currently consist of PECVD SiC: H films. It has been shown, that both parameters (thickness and k-value) considerably contribute to the effective k-value. For both investigated technology nodes, the 65 and 45nm node, parameters can be found to fulfill the ITRS requirements [International Technology Roadmap for Semiconducors, 2000-2004, Semiconductor Industry Association, San Jose, CA, 2005.] for k"e"f"f. Lower k-values of the functional layers are needed, if the thickness has to be increased for processing reasons. For example k=5.5 and thickness of 15nm yield a k"e"f"f of about 2.5 for the 45nm node. Ultimate effective k-values of 2.0 and below could be achieved for lower k-value or thickness of the functional films. more...
- Published
- 2006
- Full Text
- View/download PDF
49. Cu/barrier CMP on porous low-k based interconnect schemes
- Author
-
I. Schubert, Stefan E. Schulz, Thomas Gessner, and Knut Gottfried
- Subjects
Materials science ,Consumables ,Diffusion barrier ,Copper interconnect ,Low-k dielectric ,Polishing ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Stack (abstract data type) ,Chemical-mechanical planarization ,Electrical and Electronic Engineering ,Composite material ,Porosity - Abstract
Dielectric stacks containing porous low-k materials were investigated regarding their ability to pass CMP processes as used in Cu interconnect technology. Beside the low-k material itself, the impact of layout, cap layer materials and different diffusion barrier materials has been proven. Advanced consumables, partly specially designed for future technology nodes, have been tested within these experiments. Compatibility of the slurries with the low-k stacks, dishing and erosion, impact of polishing parameters like down force and platen speed on low-k stack integrity were examined. Low-k stacks based on a porous MSQ material capped with PECVD-SiC or with a MSQ-hard mask were found to be promising candidates. Low-k stacks based on porous SiO"2-aerogel could not meet the stability requirements at present and need additional efforts for adhesion enhancement between cap layer and porous material. Consumables used within the experiments enable an efficient processing with low dishing and erosion as well as an excellent surface quality. more...
- Published
- 2006
- Full Text
- View/download PDF
50. Mesoporous SiO2 as low-k dielectric for integration in Cu/low-k interconnect systems
- Author
-
Thomas Gessner, Stefan E. Schulz, and S. Frühauf
- Subjects
Interconnection ,Materials science ,business.industry ,Low-k dielectric ,Integrated circuit ,Dielectric ,Condensed Matter Physics ,Surfaces, Coatings and Films ,law.invention ,Transmission (telecommunications) ,law ,Thermal ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Optoelectronics ,business ,Mesoporous material - Abstract
Successful integration of ultra low-k materials in Cu/low-k interconnect systems plays a key role for the next generation of highly integrated circuits of the 65 nm and 45 nm technology nodes with high operation speed. The main goal of this is the improvement of the transmission features of the interconnect system between devices, global wires and systems. Processing by Cu-DAMASCENE technique requires stability of the dielectric films against chemical, thermal and mechanical attack. To overcome the conventional limitations for processing will be a great challenge for establishing a new dielectric material for integration. The objective of this paper is to expose the potential of mesoporous SiO2 dielectric films concerning functional properties and technological behaviour. more...
- Published
- 2006
- Full Text
- View/download PDF
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.