92 results on '"Augusto Redolfi"'
Search Results
2. Enhancement of CBRAM performance by controlled formation of a hourglass-shaped filament.
- Author
-
Attilio Belmonte, Ludovic Goux, Jiyong Woo, Umberto Celano, Augusto Redolfi, Sergiu Clima, and Gouri Sankar Kar
- Published
- 2017
- Full Text
- View/download PDF
3. Optimization of the write algorithm at low-current (10μA) in Cu/Al2O3-based conductive-bridge RAM.
- Author
-
Attilio Belmonte, Andrea Fantini, Augusto Redolfi, M. Houssa, Malgorzata Jurczak, and Ludovic Goux
- Published
- 2015
- Full Text
- View/download PDF
4. Engineering of a TiN\Al2O3\(Hf, Al)O2\Ta2O5\Hf RRAM cell for fast operation at low current.
- Author
-
C. Y. Chen, Ludovic Goux, Andrea Fantini, Robin Degraeve, Augusto Redolfi, Guido Groeseneken, and Malgorzata Jurczak
- Published
- 2015
- Full Text
- View/download PDF
5. 3D chip package interaction thermo-mechanical challenges: Proximity effects of Through Silicon vias and μ-bumps.
- Author
-
Wei Guo, Geert Van der Plas, Andrej Ivankovic, Geert Eneman, Vladimir Cherman, Bart De Wachter, Abdelkarim Mercha, Mario Gonzalez, Yann Civale, Augusto Redolfi, Thibault Buisson, A. Jourdan, Bart Vandevelde, Kenneth J. Rebibis, Ingrid De Wolf, Antonio La Manna, Gerald Beyer, Eric Beyne, and Bart Swinnen
- Published
- 2012
- Full Text
- View/download PDF
6. Migrating from planar to FinFET for further CMOS scaling: SOI or bulk?
- Author
-
Thomas Chiarella, Liesbeth Witters, Abdelkarim Mercha, Christoph Kerner, Rok Dittrich, Michal Rakowski, Claude Ortolland, Lars-åke Ragnarsson, Bertrand Parvais, An De Keersgieter, Stefan Kubicek, Augusto Redolfi, R. Rooyackers, C. Vrancken, S. Brus, A. Lauwers, Philippe Absil, S. Biesemans, and Thomas Y. Hoffmann
- Published
- 2009
- Full Text
- View/download PDF
7. Effect of Inductively Coupled Electromagnetic Field on Bottom Oxide Etch in a High Aspect Ratio Trench
- Author
-
Augusto Redolfi, Stefano Sardo, Manuel Mannarino, Antonio Palombizio, and Luc Haspeslagh
- Subjects
Materials science ,Semiconductor device fabrication ,business.industry ,Stray light ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,Aspect ratio (image) ,CMOS ,Interference (communication) ,Hardware_GENERAL ,Trench ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Wafer ,business - Abstract
CMOS optical sensors devices are becoming increasingly important and popular for many different applications. Some of these devices are fabricated starting from SOI wafers and using semiconductor manufacturing techniques. A common feature of the CMOS sensors is the isolating trench that protects the device from electrical noise. In our particular application, the trench is much deeper than usual, in order to isolate the sensor also from stray light interference. In the present paper we describe the multistep etch process used to create the trench rounding all around the sensor chip. First a silicon oxide HM (Hard Mask) is etched in a CCP (Capacitively Coupled Plasma) chamber with a recess in silicon as shallow as possible to preserve the photo-resist; then an ICP (Inductively Coupled Plasma) reactor is used to etch 23um silicon etch, landing onto a 1um BOX (Buried OXide) layer. Finally the BOX is etched with a dedicated step followed by a second silicon etch to get a deeper isolating trench, both steps performed in the same ICP chamber. The trench layout is lined internally by a closed-loop metal line that is buried in the HM stack. While working on the process development, an unexpected asymmetrical slope of the BOX sidewalls was observed. This has prompted us to focus the attention to the BOX etch and the effect that an applied electromagnetic field and its interaction with the metal line, exert on the etch behaviour. The plasma chamber employed for the BOX and silicon etch is a reactor with a top ICP source and a platen electrode, powered by two independent RF generators, both at 13.56MHz. The peculiarity of this etcher is to have an additional coil – where a high value continuous electrical current flows - used to modulate the plasma shape in the chamber. The initial BOX etch recipe applied had been used successfully in the past for similar scopes. However when it was employed for this specific application, the subsequent XSEM inspection highlighted an asymmetrical shape of the sidewalls, in different locations on the wafer. In particular the sidewall opposite to the metal line always shows an accentuated slope, compared to the other that is straight and vertical. Starting from this observation, we assumed there was an influence of the presence of the metal line during the BOX etch. The hypothesis is that the electromagnetic field generated by the additional coil of the etcher, couples with the metal lines, acting as spires on the wafer, resulting in a local electromagnetic field that has an effect on electron and ion trajectories during the etch. The result of this is the local asymmetry of the sidewalls. To prove this hypothesis, three additional tests have been executed, so to have four distinct conditions: 1) BOX etch with Coil Current ON and metal spires buried in the HM stack; 2) BOX etch with Coil Current OFF and metal spires buried in the HM stack; 3) BOX etch with Coil Current ON and NO metal spires buried in the HM stack; 4) BOX etch with Coil Current OFF and NO metal spires buried in the HM stack. The results of these tests confirm the influence of the electromagnetic field generated by the additional coil, since it gives asymmetric features in both conditions 1) and 3), and not in conditions 2) and 4). At the same time the coupling with the metal spires has an influence too, since it gives a local asymmetry in condition 1) and a wafer level asymmetry in condition 3). Figure 1
- Published
- 2021
- Full Text
- View/download PDF
8. Cu pumping in TSVs: Effect of pre-CMP thermal budget.
- Author
-
Ingrid De Wolf, Kris Croes, O. Varela Pedreira, Riet Labie, Augusto Redolfi, M. Van De Peer, Kris Vanstreels, C. Okoro, Bart Vandevelde, and Eric Beyne
- Published
- 2011
- Full Text
- View/download PDF
9. Improving Post-Cycling Low Resistance State Retention in Resistive RAM With Combined Oxygen Vacancy and Copper Filament
- Author
-
Attilio Belmonte, Ludovic Goux, Sergiu Clima, Gouri Sankar Kar, J. Radhakrishnan, Michel Houssa, and Augusto Redolfi
- Subjects
Technology ,retention ,Materials science ,Diffusion ,Population ,Oxide ,chemistry.chemical_element ,RRAM ,01 natural sciences ,Oxygen ,Protein filament ,chemistry.chemical_compound ,Engineering ,OxRAM ,Resistive RAM ,0103 physical sciences ,Electrical and Electronic Engineering ,Composite material ,education ,010302 applied physics ,education.field_of_study ,Science & Technology ,CONDUCTIVE-BRIDGING RAM ,Engineering, Electrical & Electronic ,CBRAM ,oxygen vacancy ,Copper ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,retention after cycling (RAC) ,chemistry ,oxide ,Tin ,CU - Abstract
We report for the first time, an improvement in post-cycling low resistance state retention of oxide-based resistive RAM devices by injecting copper into the oxygen vacancy conducting filament. Through first principle simulations, we find that copper encounters a larger population of high kinetic diffusion barriers than oxygen vacancies resulting in an improved low resistance state retention.
- Published
- 2019
- Full Text
- View/download PDF
10. A novel integration scheme for wafer singulation and selective processing using temporary dry film resist
- Author
-
Evert Visker, Serge Vanhaelemeersch, David Huls, Karthik Muga, Alexandre La Grappe, Augusto Redolfi, Lan Peng, Anne Lauwers, and Jan Ackaert
- Subjects
Microelectromechanical systems ,Space technology ,Resist ,law ,Computer science ,Microfluidics ,Lamination ,Process (computing) ,Wafer ,Photoresist ,Engineering physics ,law.invention - Abstract
Patterning on Si with high aspect ratio trenches by spin-coating of photoresist faces significant challenges. The desire to maintain a good thickness uniformity of resist on wafer surface, to minimize any residue inside deep trenches, as well as enabling low cost of ownership has led to new process techniques. Wafer level lamination using dry film resist (DFR) has emerged as a favorable option for such applications. In this paper, a unique application of temporary DFR to overcome deep Si trenches will be presented. The integration scheme offers novel possibilities for wafer singulation in addition to resolving the issues with conventional spin-coating. An example of this approach will be presented in detail. This unique integration flow can lead to new applications that would otherwise not be feasible in technological areas such as sensor, microfluidics and MEMS.
- Published
- 2021
- Full Text
- View/download PDF
11. Voltage-controlled reverse filament growth boosts resistive switching memory
- Author
-
Umberto Celano, Janaki Radhaskrishnan, Hugo Bender, Wilfried Vandervorst, Sergiu Clima, Augusto Redolfi, Olivier Richard, Gouri Sankar Kar, Attilio Belmonte, Zhe Chen, and Ludovic Goux
- Subjects
010302 applied physics ,Resistive touchscreen ,Materials science ,business.industry ,Control reconfiguration ,02 engineering and technology ,Nanosecond ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Non-volatile memory ,Protein filament ,Memory cell ,0103 physical sciences ,Scalability ,Optoelectronics ,General Materials Science ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Voltage - Abstract
Nonvolatile memory devices based on filamentary resistance switching (RS) areamong the frontrunners to fuel future devices and sensors of the internet of things (IoT) era. The capability of many metal-insulator-metal cells to switch between two distinctive resistive states in response to an external electrical stimulus has been demonstrated. Through years of selection, cells based on the drift of metal ions, namely conductive-bridge memory devices, have shown a wide range of applications with nanosecond switching speeds, nanometer scalability, high-density, and low power-consumption. However, for low (sub-10-μA) current operation, a critical challenge is still represented by programming variability and by the stability of the conductive filament over time. Here, by introducing the concept of reverse filament growth (RFG), we managed to control the structural reconfiguration of the conductive filament inside a memory cell with significant enhancements of each of the aforementioned properties. A first-in-class Cu-based switching device is demonstrated, with a dedicated stack that enabled us to systematically trigger RFG, thus tuning the device’s properties. Along with nanosecond switching speeds, we achieved an endurance of up to 106 cycles with a 102 read window, with outstanding disturb immunity and optimal stability of the filament over time. Furthermore, by tuning the filament’s shape, an excellent control of multi-level bit operations was achieved. Thus, this device offers high flexibility in memory applications.
- Published
- 2018
- Full Text
- View/download PDF
12. Effect of the switching layer on CBRAM reliability and benchmarking against OxRAM devices
- Author
-
Attilio Belmonte, J. Radhakrishnan, G. Reale, Romain Delhougne, Wouter Devulder, Ludovic Goux, Gouri Sankar Kar, Shreya Kundu, Laura Nyns, Andrea Fantini, and Augusto Redolfi
- Subjects
010302 applied physics ,Materials science ,Programmable metallization cell ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,Reliability (semiconductor) ,0103 physical sciences ,Memory window ,Materials Chemistry ,Electronic engineering ,Benchmark (computing) ,Electrical and Electronic Engineering ,Layer (object-oriented design) ,Data retention ,0210 nano-technology ,Voltage - Abstract
We thoroughly benchmark the reliability of Cu-based CBRAM stacks with different switching layers against state-of-the-art OxRAM stacks. We optimize the switching conditions for maximizing the endurance lifetime in three CBRAM stacks, outlining the impact of the switching layer on the energy required for the switching operation and on the memory window. We show that CBRAM provide a larger memory window than OxRAM, but the switching energy is systematically higher, and the endurance lifetime is shorter. We also demonstrate that the larger memory window of CBRAM degrades over time and is thus only an apparent advantage with respect to OxRAM. Therefore, this study reveals that OxRAM devices investigated in this work are more suitable candidates than for applications targeting long data retention and low programming voltage.
- Published
- 2021
- Full Text
- View/download PDF
13. Impact of tungsten oxidation conditions on the performance of Al 2 O 3 /WO x -based CBRAM devices
- Author
-
C. Y. Chen, J. Radhakrishnan, Augusto Redolfi, Jinfeng Kang, Ludovic Goux, Z. Chen, Attilio Belmonte, and Gouri Sankar Kar
- Subjects
010302 applied physics ,Materials science ,business.industry ,Programmable metallization cell ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,Atmospheric temperature range ,Tungsten ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) ,chemistry ,Phase (matter) ,0103 physical sciences ,Memory window ,Optoelectronics ,Electrical performance ,Electrical and Electronic Engineering ,0210 nano-technology ,business - Abstract
In this paper, we assess the impact of different W oxidation conditions on the electrical performance of Cu/Al2O3/WOx-based CBRAM devices. While HR-TEM characterization carried out on samples with three different oxidation conditions reveals that WOx is always in the crystalline phase in our oxidation temperature range, higher oxidation temperature leads to denser and thicker oxides. By performing DC and AC electrical characterization, we demonstrate that a careful engineering of the W oxidation conditions enables to boost the performance of these devices. In particular, we prove that a trade-off between density and thickness must be pursued in order to enlarge the memory window and extend the endurance lifetime with short (10 ns) pulses.
- Published
- 2017
- Full Text
- View/download PDF
14. Co Active Electrode Enhances CBRAM Performance and Scaling Potential
- Author
-
Wouter Devulder, Gouri Sankar Kar, Thomas Witters, J. Radhakrishnan, Attilio Belmonte, Augusto Redolfi, Guy Vereecke, Laura Nyns, P. Kumbhare, Ludovic Goux, A. Covello, Alexis Franquet, G. L. Donadio, Valentina Spampinato, M. Mao, Romain Delhougne, H. Hody, and Shreya Kundu
- Subjects
010302 applied physics ,Yield (engineering) ,Materials science ,business.industry ,Programmable metallization cell ,02 engineering and technology ,Active electrode ,021001 nanoscience & nanotechnology ,01 natural sciences ,0103 physical sciences ,Optoelectronics ,Data retention ,0210 nano-technology ,business ,Layer (electronics) ,Electrical conductor ,Scaling ,Voltage - Abstract
In this abstract, we report for the first time the low-current performance enhancement combined with the improvement of the scaling potential in CBRAM devices by adopting an etch-friendly alternative material, Co, as active electrode, based on theoretical considerations and experimental results. Co is proven to yield, with respect to Cu, faster/lower voltage switching and more stable conductive filaments, irrespective of the switching layer, thanks to its higher cohesive energy. By further optimizing the switching layer, we show that the introduction of Co as active electrode is a breakthrough for boosting CBRAM performances, enabling fast and low-power switching, long endurance lifetime and optimal data retention.
- Published
- 2019
- Full Text
- View/download PDF
15. Impacts of Ta Buffer Layer and Cu-Ge-Te Composition on the Reliability of GeSe-Based CBRAM
- Author
-
Augusto Redolfi, J. Radhakrishnan, Ludovic Goux, Attilio Belmonte, Wouter Devulder, Gouri Sankar Kar, and Michel Houssa
- Subjects
Technology ,retention ,Materials science ,Programmable metallization cell ,Alloy ,Analytical chemistry ,THERMAL-STABILITY ,Electrolyte ,GeSe ,engineering.material ,01 natural sciences ,Buffer (optical fiber) ,Physics, Applied ,Reliability (semiconductor) ,Engineering ,Ta ,0103 physical sciences ,Electrical and Electronic Engineering ,Electrical conductor ,Buffer layer ,Cu ,010302 applied physics ,Science & Technology ,Physics ,conductive bridge random access memory (CBRAM) ,resistive random access memory (RRAM) ,Engineering, Electrical & Electronic ,Electronic, Optical and Magnetic Materials ,Electrode ,Physical Sciences ,engineering ,Layer (electronics) - Abstract
We analyze the switching and retention properties of 65-nm integrated Cu(-Ge-Te)/(Ta)/GeSe conductive bridge random access memory devices operated at $50~\mu \text{A}$ . We evidence the crucial role played by a Ta buffer layer inserted between the Cu alloy and GeSe layers in decreasing the preforming current and in significantly improving the low-resistance state retention. Cu alloys of different compositions are tested to reveal lower device variability and longer retention with Cu2GeTe3 active electrode.
- Published
- 2019
16. Stack optimization of oxide-based RRAM for fast write speed (<1 μs) at low operating current (<10 μA)
- Author
-
Ludovic Goux, Malgorzata Jurczak, Guido Groeseneken, C. Y. Chen, Andrea Fantini, Robin Degraeve, and Augusto Redolfi
- Subjects
010302 applied physics ,Resistive touchscreen ,Materials science ,business.industry ,Electrical engineering ,Oxide ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Fast switching ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,chemistry.chemical_compound ,Amplitude ,Stack (abstract data type) ,chemistry ,0103 physical sciences ,Materials Chemistry ,Electronic engineering ,Electrical and Electronic Engineering ,Current (fluid) ,0210 nano-technology ,business ,Reset (computing) - Abstract
In this paper we engineer a TiN⧹⧹Al2O3⧹⧹(Hf,Al)O2⧹⧹Ta2O5⧹⧹Hf Oxide Resistive Random Access Memory (OxRRAM) device for fast switching at low operation current without sacrificing the retention and endurance properties. The integrated 40 nm × 40 nm cell switches at 10 μA using write pulses shorter than 100 ns (resp. 1 μs) for Reset (resp. Set) and with amplitude
- Published
- 2016
- Full Text
- View/download PDF
17. Impact of temperature and programming method on the data retention of Cu/Al 2 O 3 -based conductive-bridge RAM operated at low-current (10 μA)
- Author
-
Malgorzata Jurczak, Attilio Belmonte, Augusto Redolfi, Michel Houssa, Andrea Fantini, and Ludovic Goux
- Subjects
010302 applied physics ,Resistive touchscreen ,Materials science ,Programmable metallization cell ,Cumulative distribution function ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Stability (probability) ,Electronic, Optical and Magnetic Materials ,Computational physics ,Resistive random-access memory ,0103 physical sciences ,Materials Chemistry ,Electronic engineering ,Electrical and Electronic Engineering ,Diffusion (business) ,0210 nano-technology ,Electrical conductor ,Pulse-width modulation - Abstract
In this paper we outline the effects on the memory window of the programming method and pulse width and of the temperature, in Cu/Al2O3-based CBRAM targeting a 10-μA current regime. Despite its large median value, the overall HRS/LRS ratio in these devices can be drastically reduced due to the LRS and HRS dispersion and to the instability of the resistive states over time, especially in a low-current regime. For this reason, in this study we adopt a statistical approach, focusing on the tails of the cumulative distribution Function (CDF). We compare different verify-based algorithms to force an initial tail-to-tail (1st percentile of CDF) resistive window, demonstrating that, in order to reduce the total programming time, a complete Write/Erase cycle must be performed at each verify attempt. We also prove that the stability of the programmed LRS/HRS states is strongly influenced by the external temperature and that it is affected by the programming pulse width (PW) used in the algorithm, likely driving the diffusion processes that originate the state degradation. Selecting the appropriate PW, no overlap of the LRS and HRS distributions is observed after 1 week at room temperature.
- Published
- 2016
- Full Text
- View/download PDF
18. Novel Flexible and Cost-Effective Retention Assessment Method for TMO-Based RRAM
- Author
-
Ludovic Goux, C. Y. Chen, Guido Groeseneken, G. Gorine, Augusto Redolfi, Malgorzata Jurczak, and Andrea Fantini
- Subjects
010302 applied physics ,Retention testing ,Failure rate ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,Stack (abstract data type) ,0103 physical sciences ,Assessment methods ,Electronic engineering ,Electrical and Electronic Engineering ,0210 nano-technology - Abstract
In this letter, we propose a novel single-cell, cycle-to-cycle based retention testing method, enabling fast statistical retention assessment on oxide-based resistive random access memory (RRAM). Detailed comparison between cycle-to-cycle and device-to-device retention testing methods is made on TiN\HfO2\Hf stack showing excellent agreement in terms of failure rate and extracted activation energy. Due to the unique properties of filamentary RRAM, this new retention testing method allows fast and cost effective retention benchmarking of different material stacks and is particularly versed in the study of the impact of programming algorithms.
- Published
- 2016
- Full Text
- View/download PDF
19. Key material parameters driving CBRAM device performances
- Author
-
Shreya Kundu, J. Radhakrishnan, Attilio Belmonte, Thomas Witters, Wouter Devulder, Ludovic Goux, Michel Houssa, Gouri Sankar Kar, and Augusto Redolfi
- Subjects
Materials science ,Programmable metallization cell ,Chalcogenide ,02 engineering and technology ,Electrolyte ,010402 general chemistry ,01 natural sciences ,law.invention ,chemistry.chemical_compound ,law ,Thermal stability ,Physical and Theoretical Chemistry ,Electrical conductor ,Science & Technology ,Chemistry, Physical ,CONDUCTIVE-BRIDGING RAM ,business.industry ,MEMORY ,Transistor ,021001 nanoscience & nanotechnology ,0104 chemical sciences ,Chemistry ,CMOS ,chemistry ,Physical Sciences ,Electrode ,Optoelectronics ,0210 nano-technology ,business ,TRANSITION - Abstract
This study is focused on Conductive Bridging Random Access Memory (CBRAM) devices based on chalcogenide electrolyte and Cu-supply materials, and aims at identifying the key material parameters controlling memory properties. The CBRAM devices investigated are integrated on CMOS select transistors, and are constituted by either Ge-Se or Ge-Te electrolyte layers of various compositions combined with a Cu2GeTe3 active chalcogenide electrode. By means of extensive physical and electrical characterization, we show for a given electrolyte system that slower write is obtained for a denser electrolyte layer, which is directly correlated with a lower atomic percentage of the chalcogen element in the layer. We also evidence that the use of Ge-Se electrolyte results in larger write energy (voltage and time), however with improved state retention properties than for Ge-Te electrolyte materials. We associate these results with the stronger chemical bonding of Cu with Se, resulting both in a stabilized Cu filament and a slower Cu cation motion. More robust processing thermal stability is also observed for Ge-Se compared to Ge-Te compounds, allowing more flexibility in the integration flow design. ispartof: FARADAY DISCUSSIONS vol:213 issue:0 pages:67-85 ispartof: location:England status: published
- Published
- 2018
20. Engineering and Stack Optimization of Cu -Based Selector Devices for Low Power SCM Applications
- Author
-
Ludovic Goux, Wouter Devulder, Gouri Sankar Kar, Romain Delhougne, Marinela Barci, Attilio Belmonte, Thomas Witters, Andrea Fantini, Shreya Kundu, Karl Opsomer, and Augusto Redolfi
- Subjects
Materials science ,business.industry ,Oxide ,Active layer ,Nonlinear system ,chemistry.chemical_compound ,chemistry ,Stack (abstract data type) ,Electrode ,Optoelectronics ,business ,Material properties ,Electrical conductor ,Leakage (electronics) - Abstract
In this paper we demonstrate a unipolar selector based on Cu-based active layer. Volatile conductive bridge concept is explained by the means of electrical results and material properties such as device area, Cu-based active layer -thickness and composition. Beside the standard stack, the integration of a thin interfacial layer of 1nm metal oxide allows to improve VCB nonlinearity up to 6 decades, by decreasing initial current leakage to 1nA and switching V to 2.5V/1μs. Good endurance behavior is shown with more than 1E5 cycles obtained without degradation. Good switching temperature stability is demonstrated up to 125oC for both standard and HfO2/Cu-based stacks.
- Published
- 2018
- Full Text
- View/download PDF
21. On the Key Impact of Composition of Ge-Te and Ge-Se Electrolytes on CBRAM Properties
- Author
-
Attilio Belmonte, J. Radhakrishnan, Sergiu Clima, Augusto Redolfi, Ludovic Goux, Thomas Witters, Michel Houssa, and Gouri Sankar Kar
- Subjects
010302 applied physics ,Materials science ,Programmable metallization cell ,Chalcogenide ,business.industry ,Orders of magnitude (temperature) ,Electrolyte ,01 natural sciences ,Switching time ,chemistry.chemical_compound ,Chalcogen ,Reliability (semiconductor) ,chemistry ,0103 physical sciences ,Memory window ,Optoelectronics ,business - Abstract
Chalcogenides are interesting as CBRAM electrolytes due to large memory window ranging over 3 orders of magnitude. However, switching time and LRS retention are reliability concerns in these electrolytes. To identify pathways to improve reliability, we evaluate CBRAM devices with different compositions of two chalcogenide electrolytes (Ge-Te and Ge-Se) and investigate the role of chalcogen element and its proportion on the switching and retention characteristics. We find that Ge-Se requires longer SET times and shows better retention than Ge-Te. We also observe an anticorrelation between SET time and the proportion of chalcogen element in these electrolyte systems. Plausible mechanisms which may contribute to these results are also investigated.
- Published
- 2018
- Full Text
- View/download PDF
22. Low leakage stoichiometric SrTiO3 dielectric for advanced metal-insulator-metal capacitors
- Author
-
Ben Kaczer, Luca Larcher, Gabriele Sereni, Sven Van Elshocht, Mihaela Popovici, Augusto Redolfi, Valeri Afanas'ev, and Malgorzata Jurczak
- Subjects
Materials science ,SrTiO3 ,Low leakage ,Capacitors ,02 engineering and technology ,Dielectric ,01 natural sciences ,law.invention ,Atomic layer deposition ,Stoichiometric dielectric ,law ,0103 physical sciences ,Leakage current ,Materials Science (all) ,Condensed Matter Physics ,General Materials Science ,010302 applied physics ,business.industry ,021001 nanoscience & nanotechnology ,Capacitor ,Optoelectronics ,Metal insulator metal capacitor ,0210 nano-technology ,business ,Stoichiometry - Published
- 2016
- Full Text
- View/download PDF
23. Understanding the EOT–Jg degradation in Ru/SrTiOx/Ru metal–insulator–metal capacitors formed with Ru atomic layer deposition
- Author
-
Thierry Conard, Johannes Meersschaut, P. Fazan, Bastien Douhard, Paul Bailey, Marc Aoulaiche, Mihaela Popovici, Benjamin Groven, B. Kaczer, Annelies Delabie, Alain Moussa, Malgorzata Jurczak, Augusto Redolfi, J. A. van den Berg, S. Van Elshocht, J. Swerts, and C. Adelmann
- Subjects
Materials science ,Atomic layer deposition ,Metal-insulator-metal capacitor ,Inorganic chemistry ,chemistry.chemical_element ,Equivalent oxide thickness ,Condensed Matter Physics ,Oxygen ,Ruthenium ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Chemical engineering ,Physical vapor deposition ,Strontium titanate ,Electrical and Electronic Engineering ,Layer (electronics) ,Deposition (chemistry) - Abstract
© 2015 Elsevier B.V. All rights reserved. The impact of different Ru precursors and/or deposition methods on the electrical characteristics of Ru/SrTiOx/Ru capacitors has been investigated. The observed increase of the leakage current density (Jg) and the equivalent oxide thickness (EOT) for ALD (atomic layer deposition) deposited Ru layers compared to PVD (physical vapor deposition) deposited ones was found to be caused by a SrRuTiOx layer formation at the SrTiOx/Ru interface aided by the presence of the oxygen co-reactant used during the ALD, regardless of the precursor used. publisher: Elsevier articletitle: Understanding the EOT–Jg degradation in Ru/SrTiOx/Ru metal–insulator–metal capacitors formed with Ru atomic layer deposition journaltitle: Microelectronic Engineering articlelink: http://dx.doi.org/10.1016/j.mee.2015.04.076 content_type: article copyright: Copyright © 2015 Elsevier B.V. All rights reserved. ispartof: Microelectronic Engineering vol:147 pages:108-112 ispartof: location:ITALY, Udine status: published
- Published
- 2015
- Full Text
- View/download PDF
24. SrTiOx for sub-20nm DRAM technology nodes—Characterization and modeling
- Author
-
J. Swerts, Luca Vandelli, S. Joshi, Zhigang Ji, Sergiu Clima, Valery V. Afanas'ev, B. Kaczer, H. Reisinger, Luca Larcher, Augusto Redolfi, Mihaela Popovici, and Malgorzata Jurczak
- Subjects
Materials science ,Equivalent oxide thickness ,Nanotechnology ,Trapping ,Capacitance ,law.invention ,Coatings and Films ,chemistry.chemical_compound ,law ,Atomic and Molecular Physics ,Electronic ,Optical and Magnetic Materials ,Electrical and Electronic Engineering ,Traps ,Leakage (electronics) ,business.industry ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Surfaces ,Metal-Insulator-Metal capacitor ,Capacitor ,chemistry ,Strontium titanate ,Optoelectronics ,and Optics ,business ,Current density ,Dram - Abstract
Display Omitted Metal-Insulator-Metal capacitors with strontium titanate and ruthenium electrodes.EOT=0.38nm @ 0V and current density 10-7Acm-2 @ ?1V and 25?C.J-V and C-V behavior modeled with defects and multi-phonon trap-assisted-tunneling.Relaxation measurement indicate charge loss of 6.5% @ 1s and 25?C. The electrical properties of Ru/SrTiOx/Ru capacitors have been investigated. Equivalent Oxide Thickness (EOT) of 0.38nm at 0V and current density of 10-7Acm-2 at ?1V and 25?C meet the sub-20nm DRAM requirements. Relaxation measurements were performed, indicating acceptable charge loss. Modeling of charge trapping at defect sites based on multi-phonon trap-assisted-tunneling quantitatively well describes leakage and capacitance behavior.
- Published
- 2015
- Full Text
- View/download PDF
25. Excellent R off /R on ratio and short programming time in Cu/Al2 O3 -based conductive-bridging RAM under low-current (10 μA) operation
- Author
-
Ludovic Goux, Augusto Redolfi, Attilio Belmonte, Michel Houssa, Andrea Fantini, and Malgorzata Jurczak
- Subjects
010302 applied physics ,Materials science ,Bridging (networking) ,Programmable metallization cell ,business.industry ,Electrical engineering ,02 engineering and technology ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,0103 physical sciences ,Memory window ,Materials Chemistry ,Electrical and Electronic Engineering ,Data retention ,0210 nano-technology ,business ,Electrical conductor ,Retention time - Abstract
In this work, we prove that, for a current regime of 10 μA and using industry-relevant programming pulse-width, Cu/Al2O3-based conductive-bridging RAM (CBRAM) cells ensure reliably larger memory window (MW) than state-of-the-art oxygen-vacancy-based RRAM (OxRRAM) cells. Due to the intrinsically stochastic nature of the switching mechanism, the Ron and Roff values can be widely distributed, especially in a low-current regime, drastically reducing the overall memory window. For this reason, in this study we adopt a statistical approach, focusing on the tails of the distributions. Using a program-verify method we show that the larger median MW in CBRAM allows to program a MW ≥×10 using ×10 shorter programming time with respect to OxRRAM. Moreover, we show that, in order to ensure a MW >×10 after a fixed retention time, the programming time needs to be several decades larger for OxRRAM than for CBRAM.
- Published
- 2015
- Full Text
- View/download PDF
26. Low-current operation of novel Gd2 O3 -based RRAM cells with large memory window
- Author
-
C. Y. Chen, Andrea Fantini, Malgorzata Jurczak, Ludovic Goux, Guido Groeseneken, and Augusto Redolfi
- Subjects
Materials science ,Oxide ,chemistry.chemical_element ,02 engineering and technology ,01 natural sciences ,chemistry.chemical_compound ,0103 physical sciences ,Materials Chemistry ,Electrical and Electronic Engineering ,Latency (engineering) ,010302 applied physics ,business.industry ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,chemistry ,Electrode ,Optoelectronics ,Current (fluid) ,0210 nano-technology ,Tin ,business ,Reset (computing) ,Pulse-width modulation - Abstract
In this paper, we introduce rare-earth hygroscopic oxide Gd2O3 as a novel switching material allowing extended reset operation as compared to standard state-of-the-art oxide like (Hf,Al)O2. We prepared 5 nm-thick oxide layers, as integrated between TiN and Hf electrodes in 1-transistor/1-resistor configuration. Using industry-relevant programming current I ≤ 10 µA and pulse width ≤1 µs, 40 nm-size TiN\Gd2O3\Hf cells allowed reaching a median memory window (MW) > × 70 while state-of-the-art materials exhibited MW 106 cycles), and good retention of Gd2O3-based cells (>5 days at 85 °C), verify algorithms allow reliable programming with low latency.
- Published
- 2015
- Full Text
- View/download PDF
27. Thermally stable integrated Se-based OTS selectors with >20 MA/cm2 current drive, >3.103 half-bias nonlinearity, tunable threshold voltage and excellent endurance
- Author
-
Diana Tsvetanova, G. L. Donadio, Bogdan Govoreanu, Thomas Witters, Geoffrey Pourtois, Ludovic Goux, Sergiu Clima, C. Detavemier, Wouter Devulder, Gouri Sankar Kar, O. Richard, Shreya Kundu, Valery V. Afanas'ev, Karl Opsomer, Augusto Redolfi, and Naga Sruti Avasarala
- Subjects
010302 applied physics ,Materials science ,business.industry ,Electrical engineering ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Threshold voltage ,Nonlinear system ,0103 physical sciences ,Thermal ,Optoelectronics ,Thermal stability ,Current (fluid) ,0210 nano-technology ,business - Abstract
We report on novel integrated Se-based Ovonic Threshold Switching selector devices, with sizes down to 50nm, which can be operated reliably at high drive current densities, exceeding 20MA/cm2, and have high half-bias nonlinearity exceeding well 103. We show functional devices after a thermal budget of 350°C. Their electrical properties are tunable by careful control of the GexSe1−x films composition, thickness or process condition.
- Published
- 2017
- Full Text
- View/download PDF
28. Role of Local Chemical Potential of Cu on Data Retention Properties of Cu-Based Conductive-Bridge RAM
- Author
-
Jiyong Woo, Malgorzata Jurczak, Ludovic Goux, Attilio Belmonte, Hyunsang Hwang, and Augusto Redolfi
- Subjects
010302 applied physics ,Materials science ,Analytical chemistry ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Electrochemistry ,01 natural sciences ,Copper ,Electronic, Optical and Magnetic Materials ,Ion ,Protein filament ,chemistry ,0103 physical sciences ,Thermal stability ,Electric potential ,Electrical and Electronic Engineering ,Data retention ,0210 nano-technology ,Electrical conductor - Abstract
In this letter, we experimentally investigate data retention in a copper (Cu)-based conductive bridge random-access memory device at a low current regime (10 $\mu \text{A}$ ) in which retention is governed by factors other than just the conductive filament. Our findings show that the retention characteristics are determined by the local chemical potential of Cu between the conductive filament and its surrounding medium. Furthermore, the retention tendencies are described by the electrochemical reaction in accordance with the potential difference of Cu ions. Therefore, an appropriate quantity of Cu ions around the filament is important for achieving thermally reliable high and low resistance states over time.
- Published
- 2016
- Full Text
- View/download PDF
29. Operating-Current Dependence of the Cu-Mobility Requirements in Oxide-Based Conductive-Bridge RAM
- Author
-
Augusto Redolfi, Michel Houssa, Attilio Belmonte, Umberto Celano, Ludovic Goux, Wilfried Vandervorst, Malgorzata Jurczak, Andrea Fantini, and Robin Degraeve
- Subjects
Materials science ,business.industry ,Programmable metallization cell ,Oxide ,Dielectric ,Thermal conduction ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,Switching time ,Protein filament ,chemistry.chemical_compound ,chemistry ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Electrical conductor - Abstract
In this letter, we compare the switching performances of Cu-based CBRAM cells having either Al2O3 or SiO2 dielectric layer. Both electrical and physical characterizations revealed different Cu mobility in the two dielectrics, impacting forming/switching speed and variability as well as functionality at low current. The modeling of the conduction also indicated different filament shapes in the two dielectrics. Based on the results, dielectrics allowing high Cu mobility are required when filament temperature is low, i.e., for low-current application ( $ ), while dielectrics allowing moderate Cu mobility are more appropriate for higher current ranges ( $\ge 10~\mu \text{A}$ ), whereby Cu mobility is highly assisted by temperature.
- Published
- 2015
- Full Text
- View/download PDF
30. (Invited) Advanced Dielectrics Targeting 2X DRAM MIM Capacitors
- Author
-
Augusto Redolfi, Sergiu Clima, Ben Kaczer, Bastien Douhard, Malgorzata Jurczak, Mihaela Popovici, Johan Swerts, S. Van Elshocht, Annelies Delabie, Min-Soo Kim, and Marc Aoulaiche
- Subjects
Engineering ,Capacitor ,business.industry ,law ,Electrical engineering ,Dielectric ,business ,Dram ,law.invention - Abstract
Reducing the equivalent oxide thickness below 0.45 nm at low leakage current density of 10-7A/cm2 at ± 1V is required for DRAM MIM capacitors for the 2X node. This can be achieved using a bi-layer stack TiO2/Sr rich STO (62%), which is converted into a STO layer having 54% Sr content after crystallization anneal. The impact of TiO2 content in the initial bi-layer on the grain morphology and electrical properties of the final stack was studied. An optimal TiO2 thickness range was identified, correlated with the grain size. Ti richer STO results in a larger amount of nano-cracks and higher leakage current. The electrode/STO interface is critical in reducing the leakage current. In this regard, Ru has shown improved properties as compared to TiN. Moreover, Sr diffusion into Ru identified by SIMS measurements seems to have a beneficial effect on improving the interface and electrical properties.
- Published
- 2013
- Full Text
- View/download PDF
31. On the thermal stability of physically-vapor-deposited diffusion barriers in 3D Through-Silicon Vias during IC processing
- Author
-
Yuichi Miyamori, Yann Civale, Dimitrios Velenis, Annemie Van Ammel, Vladimir Cherman, A. Cockburn, Youssef Travaly, Bart Swinnen, Zsolt Tkei, Virginie Gravey, Sarasvathi Thangaraju, Nirajan Kumar, Geert Van der Plas, Zhitao Cao, Augusto Redolfi, Eric Beyne, and Kristof Croes
- Subjects
Interconnection ,Materials science ,Fabrication ,Diffusion barrier ,Silicon ,business.industry ,chemistry.chemical_element ,Substrate (electronics) ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Reliability (semiconductor) ,chemistry ,Physical vapor deposition ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
Barrier reliability in 3D through-Si via (TSV) Cu interconnections requires particular attention as these structures come very close to the active devices and Cu diffusion into the silicon substrate would significantly affect device performance. This work focuses on a via-middle process flow, which implies processing of the 3D-TSV after the front-end-of-line (FEOL) process, but before the back-end-of-line (BEOL) interconnect process. This results in several high temperature processing steps after TSV fabrication, including a final device wafer sintering step, generally in the 400^oC range. Thus, it becomes essential to study the stability of the TSV Cu-barrier at these temperatures to ensure a reliable integration of 3D TSV in CMOS wafers. TSV aspect ratios can vary as function of the integration scheme, for instance in a via-last or via-middle flow, and thus barrier continuity requires conformality which guarantees the presence of a diffusion barrier until the bottom of the TSV. Target conformality can either be obtained by PVD, typically for TSV A.R.=
- Published
- 2013
- Full Text
- View/download PDF
32. Kinetic and thermodynamic heterogeneity : an intrinsic source of variability in Cu-based RRAM memories
- Author
-
Geoffrey Pourtois, Robin Degraeve, Augusto Redolfi, Kensuke Ota, Bogdan Govoreanu, Gouri Sankar Kar, Ludovic Goux, Andrea Fantini, Malgorzata Jurczak, Sergiu Clima, and Attilio Belmonte
- Subjects
010302 applied physics ,Resistive touchscreen ,Materials science ,Condensed matter physics ,Physics ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,Amorphous solid ,Protein filament ,Electrical resistivity and conductivity ,Modeling and Simulation ,Metastability ,0103 physical sciences ,Fast ion conductor ,Density functional theory ,Electrical and Electronic Engineering ,0210 nano-technology - Abstract
The resistive random-access memory (RRAM) device concept is close to enabling the development of a new generation of non-volatile memories, provided that their reliability issues are properly understood. The design of a RRAM operating with extrinsic defects based on metallic inclusions, also called conductive bridge RAM, allows the use of a large spectrum of solid electrolytes. However, when scaled to device dimensions that meet the requirements of the latest technological nodes, the discrete nature of the atomic structure of the materials impacts the device operation. Using density functional theory simulations, we evaluated the migration kinetics of Cu conducting species in amorphous $$\hbox {AlO}_{\mathrm{x}}$$ and $$\hbox {WO}_{\mathrm{x}}$$ solid electrolyte materials, and established that atomic disorder leads to a large variability in terms of defect stability and kinetic barriers. This variability has a significant impact on the filament resistance and its dynamics, as evidenced during the formation step of the resistive filament. Also, the atomic configuration of the formed filament can age/relax to another metastable atomic configuration, and lead to a modulation of the resistivity of the filament. All these observations are qualitatively explained on the basis of the computed statistical distributions of the defect stability and on the kinetic barriers encountered in RRAM materials.
- Published
- 2017
33. Impact of the filament morphology on the retention characteristics of Cu/Al2O3-based CBRAM devices
- Author
-
Attilio Belmonte, Gouri Sankar Kar, Kensuke Ota, Ludovic Goux, Z. Chen, and Augusto Redolfi
- Subjects
Materials science ,Morphology (linguistics) ,Programmable metallization cell ,Nanotechnology ,macromolecular substances ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Protein filament ,Stack (abstract data type) ,Conductive filament ,Composite material ,Data retention ,0210 nano-technology - Abstract
In this paper, we demonstrate that the morphology of the conductive filament is the key parameter to control the data retention characteristics of CBRAM devices. In particular, we prove that the evolution of the LRS and HRS distributions is qualitatively related to the filament shape, whereas it is influenced quantitatively by the materials constituting the CBRAM stack, and we propose the peculiar hourglass filament shape as a solution for enabling optimal retention performances. Further analysis of the effect of cycling on retention confirms the key role of the filament morphology in the CBRAM data retention trend.
- Published
- 2016
- Full Text
- View/download PDF
34. Statistical investigation of the impact of program history and oxide-metal interface on OxRRAM retention
- Author
-
Augusto Redolfi, C. Y. Chen, Guido Groeseneken, Gouri Sankar Kar, Andrea Fantini, Robin Degraeve, and Ludovic Goux
- Subjects
010302 applied physics ,Engineering ,business.industry ,Interface (computing) ,Oxide ,Pulse duration ,02 engineering and technology ,Test method ,021001 nanoscience & nanotechnology ,Hafnium compounds ,01 natural sciences ,Data stability ,Embedded applications ,chemistry.chemical_compound ,Stack (abstract data type) ,chemistry ,0103 physical sciences ,0210 nano-technology ,business ,Simulation - Abstract
We statistically investigate for the first time the impact of programming history on the data-retention properties of tail bits in scaled OxRRAM devices. By using an innovative test method we demonstrate for a same cell that retention is not only affected by programming pulse duration but also by pre-Write pulse-pattern and delay between pulses. This approach, combined with material engineering exercise, allowed to clarify the role of oxide-metal interface and oxygen chemical potential profile along filament in improving data stability. Based on these learnings, a TaOx-based stack is proposed for embedded application, showing great retention with immunity to BEOL thermal stress.
- Published
- 2016
- Full Text
- View/download PDF
35. Retention, disturb and variability improvements enabled by local chemical-potential tuning and controlled Hour-Glass filament shape in a novel W\WO3\Al2O3\Cu CBRAM
- Author
-
Wilfried Vandervorst, Augusto Redolfi, Sergiu Clima, Malgorzata Jurczak, Attilio Belmonte, C. Y. Chen, Robin Degraeve, Jiyong Woo, Umberto Celano, Ludovic Goux, Andrea Fantini, and S. Folkersma
- Subjects
010302 applied physics ,Materials science ,business.industry ,Programmable metallization cell ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Protein filament ,0103 physical sciences ,Conductive filament ,Optoelectronics ,Current (fluid) ,0210 nano-technology ,business - Abstract
We optimize a novel W\WO 3 \Al 2 O 3 \Cu CBRAM cell allowing excellent control of Hour-Glass (HG) shaped Conductive Filament (CF), improving switching variability, disturb and retention at low current. We evidence for the first time the critical impact of the Cu chemical potential close to the HG constriction on state retention.
- Published
- 2016
- Full Text
- View/download PDF
36. Advanced a-VMCO resistive switching memory through inner interface engineering with wide (>102) on/off window, tunable μA-range switching current and excellent variability
- Author
-
D. Radisic, Mihaela Popovici, Attilio Belmonte, J. Ma, C. Adelmann, Thierry Conard, Malgorzata Jurczak, Sergiu Clima, Augusto Redolfi, L. Di Piazza, A. Vanleenhove, O. Richard, A. Velea, Hugo Bender, and Bogdan Govoreanu
- Subjects
010302 applied physics ,Materials science ,business.industry ,Interface (computing) ,Electrical engineering ,Process (computing) ,Window (computing) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Reliability (semiconductor) ,Stack (abstract data type) ,Modulation ,0103 physical sciences ,0210 nano-technology ,business ,Scaling ,Voltage - Abstract
We demonstrate an advanced a-VMCO nonfilamentary resistive switching memory cell with self-rectifying, self-compliant, forming-free and analog behavior. A BEOL-compatible process yields devices with excellent device-to-device variability, down to 40nm size. Detailed analysis of the a-Si/TiO 2 interface enables understanding the barrier resistance modulation, engineered for wider on/off window and current reduction, while preserving an excellent variability. Inner-interface engineered devices have an on/off window well above 102 and reset switching currents of down to ∼1uA for 40nm-size cells, scaling with size, without compromising reliability. Furthermore, vertical stack scaling allows to reduce the operating voltages, while preserving or tuning device figures.
- Published
- 2016
- Full Text
- View/download PDF
37. Bulk FinFET fabrication with new approaches for oxide topography control using dry removal techniques
- Author
-
Johan Wouters, Stefan Kubicek, D. L. Diehl, Malgorzata Jurczak, Katia Devriendt, Rita Rooyackers, Virginie Gravey, T. Y. Hoffmann, Naoto Horiguchi, Denis Shamiryan, T. Vandeweyer, A. Cockburn, Erik Sleeckx, Augusto Redolfi, M. Togo, Tinne Delande, and Min-Soo Kim
- Subjects
Fabrication ,Fin ,Materials science ,business.industry ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Planar ,CMOS ,Etching (microfabrication) ,Trench ,Materials Chemistry ,Electronic engineering ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business ,Lithography - Abstract
This work presents a process to fabricate Bulk FinFETs with advancements in critical fabrication steps such as the shallow trench oxide recess and the adjustment of the fin height. These steps are accomplished with the adoption of Siconi™ Selective Material Removal (SMR™) in the fabrication flow. FinFETs obtained with this new integration scheme were tested in a co-fabrication process flow proposed to integrate planar CMOS and Bulk FinFETs on the same wafer. Morphological and electrical results indicate perfectly filled trenches, a better fin height control and a Bulk FinFET static performance similar to planar CMOS. The 20 nm wide fins are fabricated using 193 nm illumination lithography followed by a series of trimming steps during the trench etching, the filling and a fin re-oxidation during the steam densification of the trench filling oxide. Trench depth is 300 nm and the electrically active fin height is 40 nm.
- Published
- 2012
- Full Text
- View/download PDF
38. Evidences of Electrode-Controlled Retention Properties in Ta2O5-Based Resistive-Switching Memory Cells
- Author
-
Augusto Redolfi, Andrea Fantini, Ludovic Goux, Yang Yin Chen, Robin Degraeve, and Malgorzata Jurczak
- Subjects
Electrode material ,Materials science ,chemistry.chemical_element ,Oxygen affinity ,Oxygen ,Electronic, Optical and Magnetic Materials ,Protein filament ,chemistry ,Electrode ,Conductive filament ,Electrical and Electronic Engineering ,Resistive switching memory ,Composite material ,Tin - Abstract
In this letter we optimize Ta2O5-based resistive-switching memory cells for improved retention properties. We show that the electrode material used as oxygen-scavenging element directly controls the state stability. As compared to TiN\Ta2O5\Ti cells the conductive filament retention is improved for TiN\Ta2O5\Ta cells due to the lower oxygen affinity of Ta. The oxygen chemical potential profile along the filament is also modulated by the Ta thickness, allowing reaching excellent retention of both low- and high-resistance states for several weeks at 250°C.
- Published
- 2014
- Full Text
- View/download PDF
39. Impact of Electrode Composition and Processing on the Low-Frequency Noise in SrTio3 MIM Capacitors
- Author
-
Johan Swerts, Augusto Redolfi, Mihaela Popovici, Eddy Simoen, Malgorzata Jurczak, Marc Aoulaiche, and Gino Giusi
- Subjects
Materials science ,business.industry ,chemistry.chemical_element ,Equivalent oxide thickness ,Dielectric ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry.chemical_compound ,Capacitor ,Film capacitor ,chemistry ,law ,Electrode ,Strontium titanate ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Tin ,Leakage (electronics) - Abstract
Strontium titanate (STO) has been proposed as dielectric material in metal-insulator-metal (MIM) capacitors for future DRAM generations due to its higher dielectric constant and corresponding lower equivalent oxide thickness. In this letter, we show for the first time the impact of electrode composition and processing on the low-frequency noise (LFN), hence on the device material defectiveness, in large area (1000 μm × 1000 μm) MIM capacitors with STO dielectric (EOT is ~0.4nm, physical thickness is ~8.5nm) and Ru/TiN or TiN as metal electrodes. LFN measurements show that the power spectral density (S IG ) associated with gate current (I G ) fluctuations follows a 1/f shape and that SIG αI α G with α measured between 1.6 and 1.9. In particular, it is shown that PVD processing for top electrode Ru in place of chemical vapor deposition processing results in a significant (more than three decades) noise reduction (lower trap density) according to the observed lower gate leakage (
- Published
- 2014
- Full Text
- View/download PDF
40. Leakage Control in 0.4-nm EOT Ru/SrTiO x /Ru Metal-Insulator-Metal Capacitors: Process Implications
- Author
-
Wan Chih Wang, Mihaela Popovici, N. Jourdan, Augusto Redolfi, Christian Caillat, Johan Swerts, Christina Olk, Valeri Afanas'ev, Marc Aoulaiche, Sven Van Elshocht, Ben Kaczer, Sergiu Clima, H. Hody, and Malgorzata Jurczak
- Subjects
Electrode material ,Materials science ,business.industry ,Analytical chemistry ,Electrical engineering ,Electronic, Optical and Magnetic Materials ,law.invention ,Atomic layer deposition ,Capacitor ,Film capacitor ,law ,Electrode ,Metal insulator metal capacitor ,Electrical and Electronic Engineering ,business ,Leakage (electronics) - Abstract
Leakage currents as low as \(10^{\mathrm {\mathbf {-7}}}\) A/cm \(^{\mathrm {\mathbf {2}}}\) at both 1 V and −1 V top electrode bias in the sub-0.4-nm equivalent SiO 2 thickness range are demonstrated in Ru/SrTiO x /Ru metal-insulator–metal capacitors in which the 8.5-nm SrTiO x layer is deposited by atomic layer deposition. The top electrode material and deposition technique as well as the postdeposition anneal are crucial parameters to control the leakage, not only at negative, but also at positive top electrode bias.
- Published
- 2014
- Full Text
- View/download PDF
41. Benchmarking SOI and bulk FinFET alternatives for PLANAR CMOS scaling succession
- Author
-
Thomas Hoffmann, Liesbeth Witters, C. Ortolland, Bertrand Parvais, Lars-Ake Ragnarsson, S. Kubicek, Anne Lauwers, Thomas Chiarella, Christoph Kerner, S. Brus, Serge Biesemans, Augusto Redolfi, Michal Rakowski, Philippe Absil, A. De Keersgieter, Abdelkarim Mercha, C. Vrancken, Faculty of Economic and Social Sciences and Solvay Business School, Laboratorium for Micro- and Photonelectronics, Electronics and Informatics, Vriendenkring VUB, and Faculty of Medicine and Pharmacy
- Subjects
Bulk FinFET ,Engineering ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,Parasitic capacitance/resistance ,Capacitance ,SOI FinFET ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Materials Chemistry ,Electrical and Electronic Engineering ,Hardware_MEMORYSTRUCTURES ,variability ,business.industry ,Electrical engineering ,SRAM ,Condensed Matter Physics ,Diffusion capacitance ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,CMOS ,Parasitic element ,Optoelectronics ,Ring-oscillator ,business ,Low voltage ,Hardware_LOGICDESIGN - Abstract
The multi-gate architecture is considered as a key enabler for further CMOS scaling thanks to its improved electrostatics and short-channel effect control. FinFETs represent one of the architectures of interest within that family together with Ω-gates, Π-gates, gate-all-around… They can readily be manufactured starting from SOI or bulk substrates even though more efforts have been dedicated to the SOI option so far. We report in this work an extensive benchmark of their critical electrical figures of merit (FOM) and their limitations. Both alternatives show better scalability (threshold voltage – Vt vs. L) than PLANAR CMOS and exhibit similar intrinsic device performance (Ioff vs. Ion). Introducing SOI substrates and low doped fins results in lower junction capacitance, higher mobility and voltage gain with reduced threshold voltage mismatch. Using an optimized integration to minimize parasitic capacitances and resistances we demonstrate high-performing FinFET ring-oscillators with delays down to 10 ps/stage for both SOI and bulk FinFETs. SRAM cells are also reported to work, scaling similarly with the supply voltage (VDD) for the two FinFET integration schemes.
- Published
- 2010
- Full Text
- View/download PDF
42. Introduction of WO3 Layer in a Cu-Based Al2O3 Conductive Bridge RAMSystem for Robust Cycling and Large Memory Window
- Author
-
Jiyong Woo, Attilio Belmonte, Malgorzata Jurczak, Hyunsang Hwang, Augusto Redolfi, and Ludovic Goux
- Subjects
Materials science ,low current operation ,02 engineering and technology ,Electrolyte ,01 natural sciences ,law.invention ,Conductive-bridge RAM (CBRAM) ,law ,0103 physical sciences ,memory window ,endurance ,memorywindow ,Breakdown voltage ,Electrical and Electronic Engineering ,Spark plug ,Electrical conductor ,010302 applied physics ,Thermal oxidation ,business.industry ,Bilayer ,Electrical engineering ,021001 nanoscience & nanotechnology ,Microstructure ,Electronic, Optical and Magnetic Materials ,Optoelectronics ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,0210 nano-technology ,business ,lcsh:TK1-9971 ,Layer (electronics) ,Biotechnology - Abstract
In this paper, we optimize a WO3\Al2O3 bilayer serving as the electrolyte of a conductive bridge RAM device using a Cu-based supply layer. By introducing a WO3 layer formed by thermal oxidation of a W plug, the hourglass shape of the conductive filament is desirably controlled, enabling excellent switching behavior. We demonstrate a clear improvement of the microstructure and density of the WO3 layer by increasing the oxidation time and temperature, resulting in a strong increase of the high-resistance-state breakdown voltage. The high quality WO3 microstructure allows thus the use of a larger reset pulse amplitude resulting both in larger memory window and failure-free write cycling.
- Published
- 2016
43. Programming-conditions solutions towards suppression of retention tails of scaled oxide-based RRAM
- Author
-
Malgorzata Jurczak, C. Y. Chen, Sergiu Clima, Ludovic Goux, Robin Degraeve, Guido Groeseneken, Andrea Fantini, and Augusto Redolfi
- Subjects
education.field_of_study ,Pulse (signal processing) ,Population ,Oxide ,chemistry.chemical_element ,Molecular physics ,Resistive random-access memory ,Protein filament ,chemistry.chemical_compound ,chemistry ,Electronic engineering ,Diffusion (business) ,Tin ,education ,Reset (computing) - Abstract
We investigate the impact of pulse programming conditions on data-retention of 40nm × 40nm TiN\HfO2\Hf RRAM devices, focusing on the failure of tail bits. We demonstrate that retention loss tail bit is not due to out diffusion of filament constituents but by low activation-energy (Ea∼0.5eV) diffusing species, which are understood as metastable Oxygen (O)-ions in the neighborhood of the conductive-filament constriction. In order to minimize their impact, effective programming pathways are demonstrated, as the low-Ea population is better reduced by (i) using longer Write pulses rather than higher current-pulse amplitudes, and/or by (ii) using shorter reset pulse prior to Write set.
- Published
- 2015
- Full Text
- View/download PDF
44. Intrinsic program instability in HfO2 RRAM and consequences on program algorithms
- Author
-
C. Y. Chen, G. Gorine, Ludovic Goux, Guido Torelli, Robin Degraeve, Malgorzata Jurczak, Sergiu Clima, Alessandro Cabrini, Andrea Fantini, and Augusto Redolfi
- Subjects
Engineering ,Oscillation ,business.industry ,Relaxation (approximation) ,Transient (oscillation) ,Hafnium compounds ,business ,Throughput (business) ,Stability (probability) ,Instability ,Algorithm ,Resistive random-access memory - Abstract
We statistically investigated for the first time resistance stability in HfO2 RRAM devices in the short (μs to s) transient after switching. We show that, the resistance value of both logic states is not stable after programming and subject to large discrete stochastic fluctuations. The frequency of fluctuation is found to be time-decaying thus hindering its detection in DC condition but considerably affecting throughput and effectiveness of write algorithms. We finally identify this instability as the primary source of the well-known resistance variability and we qualitatively explain it in terms of relaxation oscillation of filament microscopic configuration.
- Published
- 2015
- Full Text
- View/download PDF
45. A novel CBRAM integration using subtractive dry-etching process of Cu enabling high-performance memory scaling down to 10nm node
- Author
-
D. Urayama, K. Fujimoto, Thomas Witters, Malgorzata Jurczak, F. Lazzarino, N. Jossart, Ludovic Goux, Augusto Redolfi, E. Nishimura, and F. Yamashita
- Subjects
Materials science ,Fabrication ,Subtractive color ,Programmable metallization cell ,Etching (microfabrication) ,Electrode ,Nanotechnology ,Node (circuits) ,Dry etching ,Scaling - Abstract
We introduce for the first time a novel integration scheme of CBRAM cells, where the Cu electrode is patterned using a subtractive dry-etching process. We demonstrate excellent performances of 30nm-size cells (1µs-write at ≤50µA, >106 endurance, excellent retention at 150°C) as well as scaling potential of CBRAM down to 10nm-node using 5nm-thick Cu electrodes.
- Published
- 2015
- Full Text
- View/download PDF
46. Fast and Stable Sub-10uA Pulse Operation in W/SiO2/Ta/Cu 90nm 1T1R CBRAM Devices
- Author
-
Ludovic Goux, Wilfried Vandervorst, Augusto Redolfi, Attilio Belmonte, Umberto Celano, Malgorzata Jurczak, Michel Houssa, Andrea Fantini, and Robin Degraeve
- Subjects
Switching time ,Random access memory ,Pulse operation ,Resistive touchscreen ,Materials science ,business.industry ,Programmable metallization cell ,Electrical engineering ,Optoelectronics ,Dielectric ,business ,Aluminum oxide ,Resistive random-access memory - Abstract
In this abstract we demonstrate that CBRAM devices based on SiO2 dielectric can target sub-10µA application, ensuring large programming window, fast and low-voltage switching and limited cycle-to-cycle variability at 5 µA. We report, for the first time, reliable 1-µs forming operation at 5 µA on RRAM devices. The thorough comparison of SiO2- and Al2O3-based devices, in terms of electrical and physical characterization, suggests that the Cu mobility in the switching layers plays a key role, impacting forming/switching speed as well as functionality at low current, and that it can be tuned by properly selecting the switching layer material. We also correlate the mismatch in the electrical performances in the sub-10 µA regime to different filament configurations in the two resistive states.
- Published
- 2015
- Full Text
- View/download PDF
47. Ultrathin Metal/Amorphous-Silicon/Metal Diode for Bipolar RRAM Selector Applications
- Author
-
Dirk Wouters, Leqi Zhang, Sergiu Clima, Malgorzata Jurczak, Augusto Redolfi, Iuliana Radu, Bogdan Govoreanu, Yangyin Chen, Guido Groeseneken, and Christoph Adelmann
- Subjects
Amorphous silicon ,Materials science ,Silicon ,business.industry ,High selectivity ,chemistry.chemical_element ,Write margin ,Electronic, Optical and Magnetic Materials ,Resistive random-access memory ,Metal ,chemistry.chemical_compound ,chemistry ,visual_art ,visual_art.visual_art_medium ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,Resistive switching memory ,business ,Diode - Abstract
We propose a novel metal/silicon/metal (MSM) selector using ultrathin undoped amorphous silicon (a-Si) for resistive-RAM selector application. The new selector behaves as a bidirectional diode, showing a high current drive (~2.2 MA/cm)2, high selectivity (~240 for 1/2 bias), fast switching speed , and excellent endurance ( at target drive current). The doping-free a-Si structure alleviates the dopant-induced variability concerns for ultrascaled devices and eliminates the need for a dopant-activation anneal. Circuit simulations show feasibility of 1-Mb array, with over 25% read margin and 70% write margin, when using the new MSM structure as a selector for a HfO2-based resistive switching memory element.
- Published
- 2014
- Full Text
- View/download PDF
48. High-Performance Metal-Insulator-Metal Tunnel Diode Selectors
- Author
-
Augusto Redolfi, Bogdan Govoreanu, Sergiu Clima, Leqi Zhang, Malgorzata Jurczak, and Christoph Adelmann
- Subjects
Materials science ,business.industry ,Electrical engineering ,Metal-insulator-metal ,Optical switch ,Electronic, Optical and Magnetic Materials ,Non-volatile memory ,Nano-RAM ,Tunnel diode ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Layer (electronics) ,Quantum tunnelling ,Diode - Abstract
We report on a novel high-performance metal-insulator-metal tunnel diode, with ultrathin atomic layer deposited Ta2O5, for bidirectional selector applications in resistive switching memory. The diode exhibits high drive current of over 105 A/cm2, high nonlinearity, and fast turn-on and turn-off times in the below-ns range. A very good uniformity for structures down to 40 nm size and excellent ac endurance is demonstrated, well exceeding the stand-alone nonvolatile memory requirements.
- Published
- 2014
- Full Text
- View/download PDF
49. Understanding the impact of programming pulses and electrode materials on the endurance properties of scaled Ta2O5 RRAM cells
- Author
-
Sergiu Clima, Y. Y. Chen, Malgorzata Jurczak, Ludovic Goux, Robin Degraeve, Guido Groeseneken, C. Y. Chen, Andrea Fantini, and Augusto Redolfi
- Subjects
Electrode material ,Materials science ,business.industry ,chemistry.chemical_element ,Dielectric ,Resistive random-access memory ,Amplitude ,chemistry ,Electrode ,Electronic engineering ,Optoelectronics ,Degradation (geology) ,Tin ,business ,Reset (computing) - Abstract
We demonstrate the strong impact of reset amplitude and duration on the endurance degradation of scaled TiN\Ta 2 O 5 \Ta cells, which from ab-initio and electrical switching simulation is attributed to O interaction with TiN. Clear improvements are obtained using (i) shorter write pulses, (ii) low O-affinity Ru bottom electrode, and or (iii) higher O-affinity HfO 2 dielectric.
- Published
- 2014
- Full Text
- View/download PDF
50. High-drive current (>1MA/cm2) and highly nonlinear (>103) TiN/amorphous-Silicon/TiN scalable bidirectional selector with excellent reliability and its variability impact on the 1S1R array performance
- Author
-
Guido Groeseneken, D. Crotti, H. Hody, Vasile Paraschiv, Augusto Redolfi, Thomas Witters, Paul Hendrickx, Dirk Wouters, Stefan Cosemans, Leqi Zhang, Malgorzata Jurczak, Bogdan Govoreanu, Yangyin Chen, Sergiu Clima, and Christoph Adelmann
- Subjects
Amorphous silicon ,chemistry.chemical_compound ,Resistive touchscreen ,Reliability (semiconductor) ,Materials science ,chemistry ,Silicon ,Monte Carlo method ,Electronic engineering ,chemistry.chemical_element ,Crossbar switch ,Tin ,Resistive random-access memory - Abstract
An optimized TiN/amorphous-Silicon/TiN (MSM) two-terminal bidirectional selector is proposed for high density RRAM arrays. The devices show superior performance with high drive current exceeding 1MA/cm2 and half-bias nonlinearity of 1500. Excellent reliability is fully demonstrated on 40nm-size crossbar structures, with statistical ability to withstand bipolar cycling of over 106 cycles at drive current conditions and thermal stability of device operation exceeding 3hours at 125°C. Furthermore, for the first time, we address the impact of selector variability in a 1S1R memory array, by including circuit simulations in a Monte Carlo loop and point out the importance of selector variability for the low resistive state and its implications on the read margin and power consumption.
- Published
- 2014
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.