27 results on '"David Hetzer"'
Search Results
2. Coater/developer-based techniques to improve high-resolution EUV patterning
- Author
-
Kanzo Kato, Lior Huli, David Hetzer, Steven Grzeskowiak, Alexandra Krawicz, Nayoung Bae, Satoru Shimura, Shinichiro Kawakami, Yuhei Kuwahara, Cong Que Dinh, Soichiro Okada, Takahiro Kitano, Seiji Nagahara, and Akihiro Sonoda
- Published
- 2022
3. Electrical validation of the integration of 193i and DSA for sub-20nm metal cut patterning
- Author
-
Chi-Chun Liu, Kafai Lai, Jing Guo, Nelson Felix, John C. Arnold, Eric Liu, Richard A. Farrell, David Hetzer, Yann Mignot, Yasuyuki Ido, Makoto Muramatsu, Akiteru Ko, and Daniel Corliss
- Subjects
Flexibility (engineering) ,Computer science ,Process (computing) ,Electronic engineering ,Pillar ,Key (cryptography) ,Scaling ,Lithography ,Critical dimension - Abstract
The progress of using DSA for metal cut to achieve sub-20nm tip-to-tip (t2t) critical dimension (CD) is reported. Small and uniform t2t CD is very challenging due to lithographic limitation but holds the key to backend-of-the-line (BEOL) scaling. An integration scheme is demonstrated that allows the combination of design flexibility and fine, rectified local CD uniformity (LCDU). Functional electrical testable Via-Chain structure is fabricated to verify the integrity of the proposed method. Through the analysis of the observed failure modes, the process is further improved. By validating DSA for such an important patterning element as metal cut, the DSA maturity can be further advanced and hopefully move DSA closer to HVM adoption.
- Published
- 2019
4. Multi-color fly-cut-SAQP for reduced process variation
- Author
-
Gert J. Leusink, Angelique Raley, Richard A. Farrell, Akitero Ko, David L. O'Meara, K. Tapily, David Hetzer, Peter Biolsi, Elliott Franke, Anton J. deVilliers, Cory Wajda, and Jodi Hotalen
- Subjects
010302 applied physics ,Computer science ,Process (computing) ,02 engineering and technology ,Overlay ,021001 nanoscience & nanotechnology ,01 natural sciences ,Process variation ,Mandrel ,Chemical-mechanical planarization ,0103 physical sciences ,Multiple patterning ,Electronic engineering ,0210 nano-technology ,Critical dimension ,Block (data storage) - Abstract
Multi-patterning processes such as self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) present new challenges to the semiconductor device manufacturing such as increased relative cost to previous nodes, longer cycle times, and increased (local) edge placement error between grid and cut/block layers. As the scaling requirements continue, the factors driving both EPE and electrical yield such as overlay, critical dimension control (CDU) and stochastics (LCDU) become greater concerns to multi-patterning. In addition to lithographic process variations, the unit processes such as plasma/vapor etch, deposition, wet/cleans can contributes additional variation in spacer/mandrel profiles leading to poor CDU control and ultimately within-wafer pitch walking. In this paper, we outline alternative SAQP integration schemes to improve the feature profile of both mandrel and spacer to minimize process variability. This patterning scheme designated as fly-cut SAQP introduces new concepts such top spacer removal by chemical-mechanical planarization, mandrel foot mitigation layers, multi-layered mandrel for accurate polish end-point and void-free gap fill to realize high fidelity transfer to the underlying hardmask. Finally, we will demonstrate the effectiveness for this new integration scheme as a candidate for multi-color/self-aligned block (SAB) and highlight the additional benefits of using such an approach.
- Published
- 2018
5. The integration of 193i and DSA for BEOL metal cuts/blocks targeting sub-20nm tip-to-tip CD
- Author
-
Kafai Lai, Nelson Felix, Andrew Metz, David Hetzer, Jing Guo, Martin Glodde, Daniel Corliss, Jing Sha, Chi-Chun Liu, Yasuyuki Ido, Makoto Muramatsu, Richard A. Farrell, Cheng Chi, and Yann Mignot
- Subjects
Materials science ,Process development ,business.industry ,Process (computing) ,Centroid ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,Atomic layer deposition ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Critical dimension ,Lithography ,Scaling - Abstract
The progress of using DSA for metal cut to achieve sub-20nm tip-to-tip (t2t) critical dimension (CD) is reported. Small and uniform t2t CD is very challenging due to lithographic limitation but holds the key to backend-of-the-line (BEOL) scaling. An integration scheme is demonstrated that allows the combination of design flexibility and fine, rectified local CD uniformity (LCDU). The combined effect of LCDU and centroid jittering will be discussed and compared to a hole shrink process using atomic layer deposition and spacer formation. The learning from this case study can provide perspectives that may not have been investigated thoroughly in the past. By including more important elements during DSA process development, such as metal cut, the DSA maturit y can be further advanced and move DSA closer to HVM adoption.
- Published
- 2018
6. EPE improvement thru self-alignment via multi-color material integration
- Author
-
Andrew Metz, David Hetzer, Nihar Mohanty, Subhadeep Kal, Carlos Fonseca, Ryan L. Burns, Xinghua Sun, Devillers Anton J, Cheryl Pereira, Angelique Raley, Akiteru Ko, Steven Scheer, Jeffrey Smith, Richard A. Farrell, Peter Biolsi, and Lior Huli
- Subjects
010302 applied physics ,Fabrication ,Computer science ,Extreme ultraviolet lithography ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Back end of line ,Material selection ,Etching ,Chemical-mechanical planarization ,0103 physical sciences ,Trench ,Electronic engineering ,Wafer ,0210 nano-technology ,Front end of line - Abstract
As the industry marches on onto the 5nm node and beyond, scaling has slowed down, with all major IDMs & foundries predicting a 3-4 year cadence for scaling. A major reason for this slowdown is not the technical challenge of making features smaller, but effective control of variation that creeps in to the fabrication process. That variability manifests itself as edge placement error (EPE), which has a direct impact on wafer yield. Simply defined as the variance between design intent vs. actual on-wafer results, EPE is one of the foremost challenges being faced by the industry at the advanced node for both logic and memory. This is especially critical at three stages: the front end of line (FEOL) STI patterning; middle of line (MOL) contact patterning; and back end of line (BEOL) trench patterning where the desired tight pitch demands EPE control beyond the capability of 193i multi-patterning or even EUV single pattern. In order to mitigate this EPE challenge, we are proposing self-alignment of blocks & cuts through a multi-color materials integration concept. This approach, termed as “Self-aligned block or Cut (SAB or SACut)”, simply trades off the un-manageable overlay requirement into a more manageable etch selectivity challenge, by having multiple materials filled in every other trench or line. In this paper we will introduce self-alignment based block and cut strategies using multi-color materials integration and show implementation for BEOL trench block patterning. We will present a breakdown of the key unit process challenges that were needed to be resolved for enabling the self-alignment such as: (a) material selection of multi-color approach; (b) planarization of spin on materials; (c) void-free gap fill for high aspect ratio features; and last but not the least, (c) etch selectivity of etching one material with respect to all other materials exposed. Further, we will present a comparison of our new self-alignment approach with standard approaches where we will articulate the advantages in terms of EPE relaxation and mask number reduction. We will conclude our talk with a brief snapshot of the future direction of our EPE improvement strategies and our view on the future of patterning beyond 5nm node for the industry.
- Published
- 2017
7. Electrical study of DSA shrink process and CD rectification effect at sub-60nm using EUV test vehicle
- Author
-
Daniel Corliss, Martha I. Sanchez, Doni Parnell, Yongan Xu, Chi-Chun Liu, Jing Guo, Lovejeet Singh, Nelson Felix, Yann Mignot, Tsuyoshi Furukawa, David Hetzer, Daniel P. Sanders, Luciana Meli, Sean D. Burns, Kristin Schmidt, Richard A. Farrell, Kafai Lai, John C. Arnold, Cheng Chi, and Andrew Metz
- Subjects
010302 applied physics ,Materials science ,Current distribution ,business.industry ,Extreme ultraviolet lithography ,Process (computing) ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Rectification ,Etching (microfabrication) ,Test structure ,Distortion ,0103 physical sciences ,Optoelectronics ,Dislocation ,0210 nano-technology ,business - Abstract
In this study, the integrity and the benefits of the DSA shrink process were verified through a via-chain test structure, which was fabricated by either DSA or baseline litho/etch process for via layer formation while metal layer processes remain the same. The nearest distance between the vias in this test structure is below 60nm, therefore, the following process components were included: 1) lamella-forming BCP for forming self-aligned via (SAV), 2) EUV printed guiding pattern, and 3) PS-philic sidewall. The local CDU (LCDU) of minor axis was improved by 30% after DSA shrink process. We compared two DSA Via shrink processes and a DSA_Control process, in which guiding patterns (GP) were directly transferred to the bottom OPL without DSA shrink. The DSA_Control apparently resulted in larger CD, thus, showed much higher open current and shorted the dense via chains. The non-optimized DSA shrink process showed much broader current distribution than the improved DSA shrink process, which we attributed to distortion and dislocation of the vias and ineffective SAV. Furthermore, preliminary defectivity study of our latest DSA process showed that the primary defect mode is likely to be etch-related. The challenges, strategies applied to improve local CD uniformity and electrical current distribution, and potential adjustments were also discussed.
- Published
- 2017
8. DSA patterning options for logics and memory applications
- Author
-
Robert L. Bruce, Stuart A. Sieg, Mark Somervell, Daniel P. Sanders, Richard A. Farrell, Hoa Truong, Kafai Lai, Akiteru Ko, Chi-Chun Liu, Andrew Metz, Matthew E. Colburn, Kristin Schmidt, Nelson Felix, Elliott Franke, Daniel Corliss, John C. Arnold, Tsuyoshi Furukawa, Indira Seshadri, Hsinyu Tsai, Yann Mignot, Ekmini Anuja De Silva, Lovejeet Singh, David Hetzer, Luciana Meli, Doni Parnell, Martha I. Sanchez, Scott LeFevre, and Cheng Chi
- Subjects
010302 applied physics ,Flexibility (engineering) ,business.industry ,Computer science ,02 engineering and technology ,Direct transfer ,021001 nanoscience & nanotechnology ,01 natural sciences ,Computer architecture ,0103 physical sciences ,Computer data storage ,0210 nano-technology ,business ,Simulation - Abstract
The progress of three potential DSA applications, i.e. fin formation, via shrink, and pillars, were reviewed in this paper. For fin application, in addition to pattern quality, other important considerations such as customization and design flexibility were discussed. An electrical viachain study verified the DSA rectification effect on CD distribution by showing a tighter current distribution compared to that derived from the guiding pattern direct transfer without using DSA. Finally, a structural demonstration of pillar formation highlights the importance of pattern transfer in retaining both the CD and local CDU improvement from DSA. The learning from these three case studies can provide perspectives that may not have been considered thoroughly in the past. By including more important elements during DSA process development, the DSA maturity can be further advanced and move DSA closer to HVM adoption.
- Published
- 2017
9. Directed self-assembly patterning for forming fin field effect transistors
- Author
-
Richard A. Farrell, Fee Li Lie, Michael A. Guillorn, Hoa Truong, Elliott Franke, Sean D. Burns, Matthew E. Colburn, Akiteru Ko, Mark Somervell, Nelson Felix, John C. Arnold, David Hetzer, Hsinyu Tsai, Stuart A. Sieg, Kafai Lai, Chi-Chun Liu, and Daniel P. Sanders
- Subjects
Directed self assembly ,Materials science ,Fin ,business.industry ,Optoelectronics ,Field-effect transistor ,business - Published
- 2016
10. DSA via hole shrink for advanced node applications
- Author
-
Andrew Metz, Daiji Kawamura, Cheng Chi, Richard A. Farrell, Matthew E. Colburn, Nelson Felix, Martha I. Sanchez, Daniel P. Sanders, Yongan Xu, Lovejeet Singh, Jed W. Pitera, Chi-Chun Liu, John C. Arnold, Kafai Lai, Desilva Ekmini Anuja, Tsuyoshi Furukawa, Hongyun Cottle, Luciana Meli, Kristin Schmidt, and David Hetzer
- Subjects
010302 applied physics ,Computer science ,Semiconductor device fabrication ,business.industry ,Monte Carlo method ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Topology ,01 natural sciences ,Superposition principle ,0103 physical sciences ,Trench ,Multiple patterning ,Global Positioning System ,0210 nano-technology ,business ,Lithography ,Hard mask - Abstract
Directed self-assembly (DSA) of block copolymers (BCPs) has become a promising patterning technique for 7nm node hole shrink process due to its material-controlled CD uniformity and process simplicity.[1] For such application, cylinder-forming BCP system has been extensively investigated compared to its counterpart, lamella-forming system, mainly because cylindrical BCPs will form multiple vias in non-circular guiding patterns (GPs), which is considered to be closer to technological needs.[2-5] This technological need to generate multiple DSA domains in a bar-shape GP originated from the resolution limit of lithography, i.e. those vias placed too close to each other will merge and short the circuit. In practice, multiple patterning and self-aligned via (SAV) processes have been implemented in semiconductor manufacturing to address this resolution issue.[6] The former approach separates one pattern layer with unresolvable dense features into several layers with resolvable features, while the latter approach simply utilizes the superposition of via bars and the pre-defined metal trench patterns in a thin hard mask layer to resolve individual vias, as illustrated in Fig 1 (upper). With proper design, using DSA to generate via bars with the SAV process could provide another approach to address the resolution issue.
- Published
- 2016
11. DSA patterning options for FinFET formation at 7nm node
- Author
-
Richard A. Farrell, Michael A. Guillorn, Sean D. Burns, Kafai Lai, Fee Li Lie, Hsinyu Tsai, Elliott Franke, Stuart A. Sieg, Matthew E. Colburn, Nelson Felix, John C. Arnold, Daniel P. Sanders, David Hetzer, Hoa Truong, Chi-Chun Charlie Liu, Akiteru Ko, and Mark Somervell
- Subjects
Fabrication ,Fin ,Silicon ,Computer science ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,010309 optics ,chemistry ,0103 physical sciences ,Electronic engineering ,Node (circuits) ,0210 nano-technology ,Lithography - Abstract
Several 27nm-pitch directed self-assembly (DSA) processes targeting fin formation for FinFET device fabrication are studied in a 300mm pilot line environment, including chemoepitaxy for a conventional Fin arrays, graphoepitaxy for a customization approach and a hybrid approach for self-aligned Fin cut. The trade-off between each DSA flow is discussed in terms of placement error, Fin CD/profile uniformity, and restricted design. Challenges in pattern transfer are observed and process optimization are discussed. Finally, silicon Fins with 100nm depth and on-target CD using different DSA options with either lithographic or self-aligned customization approach are demonstrated.
- Published
- 2016
12. Semiconductor scaling via self-aligned block patterning
- Author
-
Jeffrey Smith, Cheryl Pereira, Lior Huli, Richard A. Farrell, Akiteru Ko, Nihar Mohanty, Devillers Anton J, and David Hetzer
- Subjects
Semiconductor ,Materials science ,business.industry ,Block (telecommunications) ,Optoelectronics ,business ,Scaling - Published
- 2016
13. Evaluation of novel processing approaches to improve extreme ultraviolet (EUV) photoresist pattern quality
- Author
-
Kevin Cummings, Vinayak Rastogi, Hiroie Matsumoto, Cecilia Montgomery, David Hetzer, Andrew Metz, Takashi Saito, Lior Huli, Warren Montgomery, Jun Sung Chun, Shih-Hui Jen, Yu-Jen Fan, and Mark Neisser
- Subjects
business.industry ,Computer science ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Multiple patterning ,Optoelectronics ,Process window ,Wafer ,Photoresist ,business ,Process engineering ,Throughput (business) ,Lithography - Abstract
Recently there has been a great deal of effort focused on increasing EUV scanner source power; which is correlated to increased wafer throughput of production systems. Another way of increasing throughput would be to increase the photospeed of the photoresist used. However increasing the photospeed without improving the overall lithographic performance, such as local critical dimension uniformity (L-CDU) and process window, does not deliver the overall improvements required for a high volume manufacturing (HVM). This paper continues a discussion started in prior publications [Ref 3,4,6], which focused on using readily available process tooling (currently in use for 193 nm double patterning applications) and the existing EUV photoresists to increase photospeed (lower dose requirement) for line and space applications. Techniques to improve L-CDU for contact hole applications will also be described.
- Published
- 2015
14. Coater/developer process integration of metal-oxide based photoresist
- Author
-
David DeKraker, Michael Kocsis, Hiroie Matsumoto, Lior Huli, Benjamin L. Clark, Shan Hu, Michael Greer, Koichi Matsunaga, Masashi Enomoto, Richard A. Farrell, Jeffrey M. Lauerhaas, Andrew Grenville, Andrew Metz, Shinchiro Kawakami, Takashi Saito, David Hetzer, and Anthony S. Ratkovich
- Subjects
Spin coating ,Materials science ,Extreme ultraviolet lithography ,Oxide ,Nanotechnology ,Photoresist ,engineering.material ,chemistry.chemical_compound ,chemistry ,Coating ,Process integration ,engineering ,Wafer ,Dry etching - Abstract
Inpria is pioneering a novel approach to EUV photoresist. Directly patternable metal oxide thin films have shown resolution better than 10nm half-pitch, with robust etch resistance, and efficient use of photons through high EUV absorbance. Inpria’s Gen2 photoresists are cast from commonly used organic coating solvents and are developed in typical negative tone develop (NTD) organic solvents. This renders them compatible with CLEAN TRACK LITHIUS Pro-EUV coater/developer system (Tokyo Electron Limited; TEL) and solvent drains. The presence of metal in the photoresist demands additional scrutiny and process development to minimize contamination risks to other tools and wafers. In this paper, we review progress in developing coat processes that reduce metal contamination levels below typical industry levels. We demonstrate minimization of trace metals contamination from wafer-to-coater/developer, and wafer-to-wafer from the spin coat process. This will also include results from surface analyses of frontside edge exclusion and backside of wafer using best-known analytical methods. In addition, we discuss results of coat uniformity and defectivity optimization. Wet clean compatibility and dry etch rate by using conventional Si-ARC/OPL etching recipe will also be presented. In conjunction with this work, we identify potential contamination pathways and means for managing contamination risk. We furthermore review equipment compatibility issues for using Inpria’s metal oxide photoresists.
- Published
- 2015
15. Fin formation using graphoepitaxy DSA for FinFET device fabrication
- Author
-
Wooyong Cho, Chi-Chun Liu, Mark Somervell, Fee Li Lie, Akiteru Ko, Melih Ozlem, Michael A. Guillorn, Nihar Mohanty, Jay W. Strane, David Hetzer, Sean D. Burns, Hsinyu Tsai, Elliott Franke, Vinayak Rastogi, Sung Gon Jung, Richard A. Farrell, Matthew E. Colburn, Nelson Felix, and Kafai Lai
- Subjects
Directed self assembly ,Fabrication ,Materials science ,Fin ,Silicon ,business.industry ,chemistry.chemical_element ,Silicon on insulator ,Nanotechnology ,Substrate (electronics) ,chemistry ,Optoelectronics ,Process optimization ,business ,Lithography - Abstract
A 27nm-pitch Graphoepitaxy directed self-assembly (DSA) process targeting fin formation for FinFET device fabrication is studied in a 300mm pilot line environment. The re-designed guiding pattern of graphoepitaxy DSA process determines not only the fine DSA structures but also the fin customization in parallel direction. Consequently, the critical issue of placement error is now resolved with the potential of reduction in lithography steps. However, challenges in subsequent pattern transfer are observed due to insufficient etch budget. The cause of the issues and process optimization are illustrated. Finally, silicon fins with 100nm depth in substrate with pre-determined customization is demonstrated.
- Published
- 2015
16. SEMATECH's cycles of learning test for EUV photoresist and its applications for process improvement
- Author
-
Lior Huli, Cecilia Montgomery, David Hetzer, Mark Neisser, Shih-Hui Jen, Takashi Saito, Jun Sung Chun, Dominic Ashworth, and Karen Petrillo
- Subjects
Optics ,Resist ,Computer science ,business.industry ,Extreme ultraviolet lithography ,Extreme ultraviolet ,Line (geometry) ,Electronic engineering ,Process (computing) ,Process window ,Photoresist ,business - Abstract
With current progress in exposure source power, novel resist materials, and post processing techniques, EUV is getting closer to the production environment. As reported continuously, SEMATECH established cycles of learning program. The data generated from the program has been utilized to measure current state of the art of EUV photoresist for production or pilot line use. Thanks to SEMATECH core and associate members’ attention to the project, numerous EUV samples have been tested and they were based on the best performing EUV resists from associate members. This year we completed the evaluations for under-layers, lines and spaces, and contact holes. We also applied track based techniques to drive both low line edge roughness control and enlarge the process window with techniques such as FIRM TM and track based smoothing process. In this paper we will discuss about the results from cycles of learning test and show post-processing results of the three best line and space resists when combined with different FIRM TM materials.
- Published
- 2014
17. Towards electrical testable SOI devices using Directed Self-Assembly for fin formation
- Author
-
Ryoung-han Kim, Mark Somervell, Joy Cheng, Matthew E. Colburn, Chi-Chun Liu, Michael A. Guillorn, H. He, Derrick Liu, Nihar Mohanty, Michael Cicoria, David Hetzer, Anthony Schepis, Akiteru Ko, Cristina Estrada-Raygoza, Kafai Lai, Jason Cantone, Melia Tjio, Sylvie Mignot, Vinayak Rastogi, Robin Chao, and Hsinyu Tsai
- Subjects
Fin ,Materials science ,business.industry ,Thermodynamic equilibrium ,Process (computing) ,Silicon on insulator ,Degradation (geology) ,Optoelectronics ,Process optimization ,business ,Line (electrical engineering) ,Communication channel - Abstract
The first fully integrated SOI device using 42nm-pitch directed self-assembly (DSA) process for fin formation has been demonstrated in a 300mm pilot line environment. Two major issues were observed and resolved in the fin formation process. The cause of the issues and process optimization are discussed. The DSA device shows comparable yield with slight short channel degradation which is a result of a large fin CD when compared to the devices made by baseline process. LER/LWR analysis through the DSA process implied that the 42nm-pitch DSA process may not have reached the thermodynamic equilibrium. Here, we also show preliminary results from using scatterometry to detect DSA defects before removing one of the blocks in BCP.
- Published
- 2014
18. Manufacturability considerations for DSA
- Author
-
Erik R. Hosler, Richard A. Farrell, Vinayak Rastogi, Jeff J. Xu, Nihar Mohanty, Michael Cicoria, Anton J. deVilliers, Kaushik A. Kumar, David Hetzer, Moshe Preil, and Gerard M. Schmid
- Subjects
Flexibility (engineering) ,Back end of line ,Computer science ,Process (computing) ,Electronic engineering ,Process window ,Nanotechnology ,Surface finish ,Disclination ,Lithography ,Design for manufacturability - Abstract
Implementation of Directed Self-Assembly (DSA) as a viable lithographic technology for high volume manufacturing will require significant efforts to co-optimize the DSA process options and constraints with existing work flows. These work flows include established etch stacks, integration schemes, and design layout principles. The two foremost patterning schemes for DSA, chemoepitaxy and graphoepitaxy, each have their own advantages and disadvantages. Chemoepitaxy is well suited for regular repeating patterns, but has challenges when non-periodic design elements are required. As the line-space polystyrene-block-polymethylmethacrylate chemoepitaxy DSA processes mature, considerable progress has been made on reducing the density of topological (dislocation and disclination) defects but little is known about the existence of 3D buried defects and their subsequent pattern transfer to underlayers. In this paper, we highlight the emergence of a specific type of buried bridging defect within our two 28 nm pitch DSA flows and summarize our efforts to characterize and eliminate the buried defects using process, materials, and plasma-etch optimization. We also discuss how the optimization and removal of the buried defects impacts both the process window and pitch multiplication, facilitates measurement of the pattern roughness rectification, and demonstrate hard-mask open within a back-end-of-line integration flow. Finally, since graphoepitaxy has intrinsic benefits in terms of design flexibility when compared to chemoepitaxy, we highlight our initial investigations on implementing high-chi block copolymer patterning using multiple graphoepitaxy flows to realize sub-20 nm pitch line-space patterns and discuss the benefits of using high-chi block copolymers for roughness reduction.
- Published
- 2014
19. Feasibility study of resist slimming for SIT
- Author
-
Jonathan Ludwicki, Nicole Saulnier, Michael Cicoria, Matthew E. Colburn, David Hetzer, and Chiew-seng Koay
- Subjects
Mandrel ,Resist ,Computer science ,Process (computing) ,Mechanical engineering ,Process window ,Critical dimension - Abstract
Wet chemical slimming of resist can enable a resist mandrel for sidewall-image transfer (SIT) by decreasing the mandrel width and smoothing the mandrel sidewalls. This would reduce the cost of the SIT process. Several key metrics are used to compare the traditional etched mandrel and the slimmed resist mandrel, including: process window, critical dimension uniformity, and defectivity. New resists are shown to have larger process windows after slimming than an etched mandrel process while maintaining comparable critical dimension uniformity. The major challenge to the resist mandrel is the profile post-slim.
- Published
- 2013
20. Fabrication of 28nm pitch Si fins with DSA lithography
- Author
-
Mark Somervell, Gerard M. Schmid, Jeff J. Xu, Akiteru Ko, Richard A. Farrell, Vidhya Chakrapani, Nihar Mohanty, Moshe Preil, Benjamen M. Rathsack, David Hetzer, Chanro Park, and Michael Cicoria
- Subjects
Fabrication ,Fin ,law ,Computer science ,Process (engineering) ,Electronic engineering ,Nanotechnology ,Photolithography ,Throughput (business) ,Lithography ,law.invention - Abstract
Directed Self-Assembly (DSA), as an extension of current state-of-the-art photolithography, has demonstrated the capability for patterning with resolution and cost effectiveness beyond the capability of other techniques. Previous studies of DSA have reported encouraging benchmarks in defect density and throughput capability for the patterning step, and such results provide a foundation for our ongoing efforts to integrate the DSA patterning step into a robust process for fabricating device layers. Here we provide a status report on the integration of two chemoepitaxy DSA patterning methods for the fabrication of 28nm pitch Si fin arrays. In addition to the requirements for a robust pattern transfer process, it is also important to understand the pattern design limitations that are associated with DSA. We discuss some of the challenges and opportunities associated with developing efficient device designs that take advantage of the capabilities of DSA.
- Published
- 2013
21. Directed self-assembly process implementation in a 300mm pilot line environment
- Author
-
Matthew E. Colburn, Steven J. Holmes, Hsinyu Tsai, Mark Somervell, Joy Cheng, Michael Cicoria, Michael A. Guillorn, I. Cristina Estrada-Raygoza, Melia Tjio, David Hetzer, Jassem Abdallah, Anthony Schepis, Yunpeng Yin, and Chi-Chun Liu
- Subjects
Materials science ,Resist ,Rectification ,business.industry ,Process (computing) ,Optoelectronics ,Process window ,Surface finish ,business ,Failure mode and effects analysis ,Critical dimension ,Lithography - Abstract
The patterning capability of the directed self-assembly (DSA) of a 42nm-pitch block copolymer on an 84nm-pitch guiding pattern was investigated in a 300mm pilot line environment. The chemoepitaxy guiding pattern was created by the IBM Almaden approach using brush materials in combination with an optional chemical slimming of the resist lines. Critical dimension (CD) uniformity, line-edge/line-width roughness (LER/LWR), and lithographic process window (PW) of the DSA process were characterized. CD rectification and LWR reduction were observed. The chemical slimming process was found to be effective in reducing pattern collapse, hence, slightly improving the DSA PW under over-dose conditions. However, the overall PW was found to be smaller than without using the slimming, due to a new failure mode at under-dose region.
- Published
- 2013
22. SADP for BEOL using chemical slimming with resist mandrel for beyond 22nm nodes
- Author
-
Shinichiro Kawakami, David Hetzer, E. Todd Ryan, Harry J. Levinson, Jongwook Kye, Lior Huli, Shannon Dunn, Sudhar Raghunathan, and Linus Jang
- Subjects
Materials science ,business.industry ,Semiconductor device fabrication ,Extreme ultraviolet lithography ,Nanotechnology ,law.invention ,Back end of line ,Resist ,law ,Multiple patterning ,Optoelectronics ,Photolithography ,business ,Lithography ,Next-generation lithography - Abstract
The fundamental limits of optical lithography have driven semiconductor processing research to push the envelope. Double patterning (DP) techniques including litho-etch litho-etch (LELE), litho-litho etch (LLE), and self-aligned double patterning (SADP) have become standard vernacular for near term semiconductor processing as EUV is not yet ready for high volume production. The challenge, even with techniques like LLE and SADP, remains that printing small lines on tight pitches (for LLE) or even small lines on relaxed pitches for mandrel/spacer combinations is not trivial. We have demonstrated a track-based slimming technique that can produce sub-25 nm resist lines for either SADP or LLE DP processes. Our work includes results for varying shrink amounts at different target critical dimensions (CD) and for multiple pitches. We also investigated CD uniformity (CDU) and defectivity. In particular, optimization of the amount of slimming is critical as it allows for much greater process latitude at the lithography step. In addition to the lithography work, we have continued the processing for both integration schemes to include oxide deposition and etch for SADP and through etch performance for DP. We have demonstrated sub 45 nm pitch structures. The wide variety of process uses, as well as the ability to achieve a large range of shrink amounts shows that track based slimming is a viable solution to achieve target CD and pitch values for sub 22 nm technology node.
- Published
- 2012
23. Optimization of pitch-split double patterning phoresist for applications at the 16nm node
- Author
-
Matthew E. Colburn, Steven J. Holmes, Brian Osborn, Sean D. Burns, Shinichiro Kawakami, David Hetzer, Sumanth Kini, Hideyuki Tomizawa, Nicolette Fender, Chiew-seng Koay, Karen Petrillo, John C. Arnold, Terry A. Spooner, Yunpeng Yin, Guillaume Landie, Rex Chen, Mark Slezak, Rao Varanasi, Scott Halle, Cherry Tang, Shyng-Tsong Chen, Jason Cantone, Sen Liu, Shannon Dunn, and Lovejeet Singh
- Subjects
Optics ,Fabrication ,Materials science ,Resist ,Computer Science::Sound ,business.industry ,Multiple patterning ,Process window ,Semiconductor device ,business ,Dark field microscopy ,Lithography ,Critical dimension - Abstract
Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to standard bright field applications.
- Published
- 2011
24. Towards manufacturing of advanced logic devices by double-patterning
- Author
-
Michael Crouse, Brian Martinick, Steven J. Holmes, Shinichiro Kawakami, Matthew E. Colburn, Karen Petrillo, Shannon Dunn, Aiqin Jiang, Scott Halle, Jason Cantone, Lior Huli, Youri van Dommelen, David Hetzer, M. Rodgers, and Chiew-seng Koay
- Subjects
business.industry ,Computer science ,Extreme ultraviolet lithography ,Nanotechnology ,Semiconductor device ,Photoresist ,Design for manufacturability ,Semiconductor ,Electronic engineering ,Multiple patterning ,Node (circuits) ,Wafer ,IBM ,business ,Lithography - Abstract
As reported previously, the IBM Alliance has established a DETO (Double-Expose-Track-Optimized) baseline, in collaboration with ASML, TEL, and CNSE, to evaluate commercially available DETO photoresist system for the manufacturing of advanced logic devices. Although EUV lithography is the baseline strategy for
- Published
- 2011
25. Evaluation of double-patterning techniques for advanced logic nodes
- Author
-
Shinichiro Kawakami, Lior Huli, Matthew E. Colburn, Robert Routh, Michael Many, Steven J. Holmes, Brian Martinick, Chiew-seng Koay, Aiqin Jiang, Sean D. Burns, Karen Petrillo, Jason Cantone, Shannon Dunn, Youri van Dommelen, David Hetzer, M. Rodgers, Sumanth Kini, and Hideyuki Tomizawa
- Subjects
Computer science ,business.industry ,Nanotechnology ,Semiconductor device ,law.invention ,Design for manufacturability ,Semiconductor ,Resist ,law ,Multiple patterning ,Electronic engineering ,Photolithography ,IBM ,business ,Lithography - Abstract
The development of Double-Patterning (DP) techniques continues to push forward aiming to extend the immersion based lithography below 36 nm half pitch. There are widespread efforts to make DP viable for further scaling of semiconductor devices. We have developed Develop/Etch/Develop/Etch (DE2) and Double-Expose-Track-Optimized (DETO) techniques for producing pitch-split patterns capable of supporting semiconductor devices for the 16 nm and 11 nm nodes. The IBM Alliance has established a DETO baseline, in collaboration with ASML, TEL, CNSE, and KLATencor, to evaluate the manufacturability of DETO by using commercially available resist systems. Presented in this paper are the long-term performance results of these systems relevant to defectivity, overlay, and CD uniformity.
- Published
- 2010
26. Integrated ODP Metrology Matching To Reference Metrology For Lithography Process Control
- Author
-
Patrick Kearney, Junichi Uchida, Heiko Weichert, Dmitriy Likhachev, David Hetzer, Göran Fleischer, Erik M. Secula, David G. Seiler, Rajinder P. Khosla, Dan Herr, C. Michael Garner, Robert McDonald, and Alain C. Diebold
- Subjects
Matching (statistics) ,Engineering ,Semiconductor device fabrication ,business.industry ,Control system ,Electronic engineering ,Electrical engineering ,Process control ,business ,Throughput (business) ,Test data ,Advanced process control ,Metrology - Abstract
Advanced DRAM manufacturing demands rigorous and tight process control using high measurement precision, accurate, traceable and high throughput metrology solutions. Scatterometry is one of the advanced metrology techniques which satisfies all of these requirements. Scatterometry has been implemented in semiconductor manufacturing for monitoring and controlling critical dimensions and other important structural parameters. One of the major contributing factors to the acceptance and implementation of scatterometry systems is the ability to match to reference metrology. Failure to understand the optimum matching conditions, can lead to wrong conclusions with respect to hardware stability and/or incorrect analysis of production data. This paper shows the use of the integrated scatterometry system to control the lithography processes in a real production environment. In the control system, the scatterometry Optical Digital Profilometry (ODP™) data is referenced to sampled CD‐SEM data. A significant improvement in matching between the two metrology systems was achieved following the implementation of a new ODP‐function. The results also reveal a clearer roadmap for the implementation of an integrated scatterometry based control loop system. The results also pointed to how to achieve a reduced setup time as well as a deeper understanding of the relationship between test data and production data. It has been clearly shown that to achieve the desired sub‐nanometer matching in scatterometry measurements for advanced process control, we need to pay scrupulous attention to matching data not only from test wafers but from production data in order to derive functions that will produce the optimum matching conditions.
- Published
- 2009
27. Ethylene/α-Olefin Copolymerization with Dimethylsilyl-bis(2-methyl-4-phenyl-indenyl) zirconium dichloride and Methylaluminoxane: Influences on Polymerization Activity and Molecular Weight
- Author
-
Brent Riscili, Massoud J Miri, Mark Pecak, David Hetzer, and Adam Miles
- Subjects
chemistry.chemical_compound ,Ethylene ,chemistry ,Polymerization ,Comonomer ,Polymer chemistry ,Copolymer ,Methylaluminoxane ,Polyethylene ,Post-metallocene catalyst ,Metallocene - Abstract
The copolymerizations of ethylene with 1-hexene and of ethylene with 1-octene have been investigated using rac-Me2Si [2-Me-4-Ph-Ind]2 ZrCl2 and methylaluminoxane as cocatalyst. This metallocene catalyst readily incorporates α-olefin, and at the same time the polymerization activities remain relatively high. Interestingly no comonomer effect can be observed with this catalyst in contrast to other metallocene catalysts, e. g. Cp2ZrCl2 or Me2Si-[Ind]2ZrCl2. Also the mode of addition of catalyst components influences the polymerization rate. The separate addition of the metallocene from the MAO is accompanied by longer induction periods, whereas the combined addition induces copolymerization almost instantaneously. The activities obtained with 1-octene are slightly lower than those obtained with 1-hexene. The molecular weight drops with increasing α-olefin concentration, however it is relatively high compared to copolymers produced with other metallocene catalysts. With a highly racemic mixture of the title catalyst unprecedented activities were obtained of 1,170,000 kg polyethylene/(mol Zr x h x [mon]) at 40 °C, and 477,000 kg ethylene/1-hexene copolymer/(mol Zr x h x [mon]) at 45 °C and a monomer ratio of unity in the reaction.
- Published
- 1999
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.