165 results on '"Johann W. Bartha"'
Search Results
2. Design, Fabrication, and Comparison of 3D Multimode Optical Interconnects on Silicon Interposer
- Author
-
Zaid Al-Husseini, Ronny Henker, Laszlo Szilagyi, Niels Neumann, Johann W. Bartha, Dirk Plettemeier, Sujay Charania, Felix Winkler, Frank Ellinger, and Sebastian Killge
- Subjects
Materials science ,Silicon photonics ,Fabrication ,Optical fiber ,Multi-mode optical fiber ,Through-silicon via ,business.industry ,Optical interconnect ,Optical power ,Atomic and Molecular Physics, and Optics ,law.invention ,Back end of line ,law ,Optoelectronics ,business - Abstract
While conventional silicon photonic (SiP) waveguides achieve high data rates with low loss, they can only be placed on top of the chip surfaces horizontally. In this article, we present a design approach for multimode chip-to-chip interconnects with similar low-loss and high-bandwidth density properties as SiP waveguides. The state-of-the-art fabrication of optical through silicon via (OTSV) requires conventional back end of line (BEOL) processing with an additional step: metal coating lowers the loss of air-filled TSVs. Alternatively, the TSV is converted into a polymer waveguide by filling of low-loss polymer (Ormocore) to achieve
- Published
- 2020
- Full Text
- View/download PDF
3. In vacuo investigations on the nucleation of TaCN by plasma enhanced atomic layer deposition
- Author
-
Matthias Albert, Johanna Reif, Johann W. Bartha, Martin Knaut, and Sebastian Killge
- Subjects
010302 applied physics ,Materials science ,Ultra-high vacuum ,Tantalum ,Oxide ,Nucleation ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,Nitride ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Atomic layer deposition ,chemistry.chemical_compound ,X-ray photoelectron spectroscopy ,Chemical engineering ,chemistry ,0103 physical sciences ,Electrical and Electronic Engineering ,0210 nano-technology - Abstract
The nucleation of TaCN films during plasma enhanced atomic layer deposition (PEALD) using pentakis-(dimethylamino)tantalum (PDMAT) in combination with H2/Ar plasma was investigated on several substrate surfaces by X-ray photoelectron spectroscopy (XPS) without vacuum break. A cluster tool combining a process reactor with a surface analysis unit under high vacuum conditions ensures a direct qualification and quantification of the chemical surface composition by XPS starting from the very first precursor pulse. Due to the high sensitivity of in vacuo XPS measurements, tantalum can be detected at the substrate surface already after the very first precursor pulse of the PEALD process. The amount of adsorbed tantalum precursor molecules on the silicon oxide surface is much higher compared to the H-terminated Si and low-k surfaces. The early cycles are characterized by the onset of Ta O bonds. A Ta O interface layer grows on the substrate surface until all the reactive OH-groups are consumed. This is followed by the emergence of Ta C and Ta N bonds when the homogenous growth mode begins. The PEALD nucleation of TaCN was also investigated on low-k substrates (SiCOH). The homogeneous film body on all substrates consist of Ta- carbide -nitride, and -oxide compounds. In summary, we obtained precise information about the initital tantalum precursor adsorption on several substrate materials and explored the capability to enhance the initial growth on low-k substrates. These examples demonstrate as well that in-vacuo XPS measurements are ideally suited for studying film growth nucleation.
- Published
- 2019
- Full Text
- View/download PDF
4. Dynamic deposition system for fabrication of amorphous/crystalline silicon heterojunction solar cells combining linear hot-wire and plasma enhanced chemical vapor deposition methods
- Author
-
Sebastian Leszczynski, Carsten Strobel, Barbara Leszczynska, Sylva Waurenschk, Sören Röhlecke, Frank Stahr, Matthias Albert, and Johann W. Bartha
- Subjects
Materials Chemistry ,Metals and Alloys ,Surfaces and Interfaces ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Published
- 2022
- Full Text
- View/download PDF
5. 3D system integration on 300 mm wafer level: High-aspect-ratio TSVs with ruthenium seed layer by thermal ALD and subsequent copper electroplating
- Author
-
M. Jurgen Wolf, Matthias Albert, Christian Wenzel, Marcel Junige, Sebastian Killge, Mathias Böttcher, Johanna Reif, Johann W. Bartha, Volker Neumann, and Irene Bartusseck
- Subjects
010302 applied physics ,Materials science ,Silicon ,Scanning electron microscope ,Tantalum ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Focused ion beam ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Barrier layer ,chemistry ,Chemical engineering ,0103 physical sciences ,Copper plating ,Wafer ,Electrical and Electronic Engineering ,0210 nano-technology ,Sheet resistance - Abstract
The copper electrochemical deposition (Cu-ECD) filling capability of high aspect ratio through silicon vias (HAR-TSVs) and homogeneity over 300 mm wafers were investigated on a film stack of thermal ALD (thALD) TaxNy barrier with thermal ALD Ru seed in comparison to TixNy barrier with a standard Cu i-PVD seed layer using a commercial 300 mm plating tool. As a first step, Cu-ECD was conducted on wafers with TSV blind holes with aspect ratios (AR) of 10 to 12. To achieve this, a thermal ALD film stack of approximately 6 nm TaxNy and 9 nm Ru (with a sheet resistance of [25.6 ± 1.4] Ω/ϒ) were deposited at 250 °C. The reactants for the barrier layer were (tert-butylimido)tris(diethylamino)tantalum(V) (TBTDET) and ammonia (NH3) as co-reactant. For the Ru seed layer deposition (ethylcyclopentadienyl)(pyrrolyl)ruthenium(II) (ECPR) and molecular oxygen as co-reactant were used supplemented by a hydrogen purge step after every third ALD cycle. The corresponding ALD growth was observed during the entire process by in-situ real-time spectroscopic ellipsometry (irtSE). Blister-free deposition and satisfactory film stack adhesion with no delamination was verified ex situ by scanning electron microscopy (SEM). The deposited copper inside the TSVs was analyzed by focused ion beam (FIB) imaging and X-ray tomography. The Cu ECD filling capability in HAR-TSVs was shown on a film stack of thALD TaxNy thALD Ru seed using a commercial industry standard 300 mm plating tool. A novel blister-free ultra-thin Ru ALD film having good adhesion properties and unique advantages, e. g. high conformity in high-aspect-ratio through-silicon vias large-scale film uniformity over 300 mm wafers, as well as good reproducibility was developed.
- Published
- 2019
- Full Text
- View/download PDF
6. Anisotropic Etching of Pyramidal Silica Reliefs with Metal Masks and Hydrofluoric Acid
- Author
-
C. Strobel, Volker Neumann, Ulrich Künzelmann, André Hiess, Dimitrios Kazazis, Robert Kirchner, Johann W. Bartha, Felix Winkler, and Sandra Völkel
- Subjects
Materials science ,Fabrication ,Silicon ,Silicon dioxide ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,General Chemistry ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Amorphous solid ,Biomaterials ,chemistry.chemical_compound ,Hydrofluoric acid ,chemistry ,Etching (microfabrication) ,Optoelectronics ,General Materials Science ,0210 nano-technology ,Anisotropy ,business ,Plasmon ,Biotechnology - Abstract
This work describes the fabrication of anisotropically etched, faceted pyramidal structures in amorphous layers of silicon dioxide or glass. Anisotropic and crystal-oriented etching of silicon is well known. Anisotropic etching behavior in completely amorphous layers of silicon dioxide in combination with purely isotropic hydrofluoric acid as etchant is an unexpected phenomenon. The work presents practical exploitations of this new process for self-perfecting pyramidal structures. It can be used for textured silica or glass surfaces. The reason for the observed anisotropy, leading to enhanced lateral etch rates, is the presence of thin metal layers. The lateral etch rate under the metal significantly exceeds the vertical etch rate of the non-metallized area by a factor of about 6-43 for liquid and 59 for vapor-based processes. The ratio between lateral and vertical etch rate, thus the sidewall inclination, can be controlled by etchant concentration and selected metal. The described process allows for direct fabrication of shallow angle pyramids, which for example can enhance the coupling efficiency of light emitting diodes or solar cells, can be exploited for producing dedicated silicon dioxide atomic force microscopy tips with a radius in the 50 nm range, or can potentially be used for surface plasmonics.
- Published
- 2020
7. In situ studies on atomic layer etching of aluminum oxide using sequential reactions with trimethylaluminum and hydrogen fluoride
- Author
-
Johanna Reif, Martin Knaut, Sebastian Killge, Matthias Albert, Thomas Mikolajick, and Johann W. Bartha
- Subjects
Surfaces and Interfaces ,Condensed Matter Physics ,Surfaces, Coatings and Films - Abstract
Controlled thin film etching is essential for future semiconductor devices, especially with complex high aspect ratio structures. Therefore, self-limiting atomic layer etching processes are of great interest to the semiconductor industry. In this work, a process for atomic layer etching of aluminum oxide (Al2O3) films using sequential and self-limiting thermal reactions with trimethylaluminum and hydrogen fluoride as reactants was demonstrated. The Al2O3 films were grown by atomic layer deposition using trimethylaluminum and water. The cycle-by-cycle etching was monitored throughout the entire atomic layer etching process time using in situ and in real-time spectroscopic ellipsometry. The studies revealed that the sequential surface reactions were self-limiting versus reactant exposure. Spectroscopic ellipsometry analysis also confirmed the linear removal of Al2O3. Various process pressures ranging from 50 to 200 Pa were employed for Al2O3 etching. The Al2O3 etch rates increased with process pressures: Al2O3 etch rates of 0.92, 1.14, 1.22, and 1.31 Å/cycle were obtained at 300 °C for process pressures of 50, 100, 150, and 200 Pa, respectively. The Al2O3 etch rates increased with the temperature from 0.55 Å/cycle at 250 °C to 1.38 Å/cycle at 350 °C. Furthermore, this paper examined the temperature dependence of the rivalry between the removal (Al2O3 etching) and growth (AlF3 deposition) processes using the reactants trimethylaluminum and hydrogen fluoride. The authors determined that 225 °C is the transition temperature between AlF3 atomic layer deposition and Al2O3 atomic layer etching. The high sensitivity of in vacuo x-ray photoelectron spectroscopy allowed the investigation of the interface reactions for a single etching pulse as well as the initial etch mechanism. The x-ray photoelectron spectroscopy measurements indicated that the fluorinated layer is not completely removed after each trimethylaluminum exposure. The Al2O3 atomic layer etching process mechanism may also be applicable to etch other materials such as HfO2.
- Published
- 2022
- Full Text
- View/download PDF
8. Novel XPS Technique for Fluorocarbon Layer Evaluation on Nano-Scale Sicoh Sidewalls
- Author
-
Abhishek Vatsal, Matthias Rudolph, Sebastian Oehler, Johann W. Bartha, and Varvara Brackmann
- Subjects
Materials science ,X-ray photoelectron spectroscopy ,Chemical engineering ,Fluorocarbon ,Nanoscopic scale ,Layer (electronics) - Published
- 2021
- Full Text
- View/download PDF
9. Atomic layer deposition of tantalum oxide thin films using the precursor tert-butylimido-tris-ethylmethylamido-tantalum and water: Process characteristics and film properties
- Author
-
Marion Geidel, Thomas Henke, Matthias Albert, Johann W. Bartha, Felix Winkler, and Martin Knaut
- Subjects
010302 applied physics ,Materials science ,Metals and Alloys ,Tantalum ,Analytical chemistry ,Oxide ,chemistry.chemical_element ,Equivalent oxide thickness ,02 engineering and technology ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,01 natural sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,Atomic layer deposition ,chemistry.chemical_compound ,chemistry ,0103 physical sciences ,Materials Chemistry ,Thin film ,0210 nano-technology ,Silicon oxide ,High-κ dielectric - Abstract
In this work, the precursor tert -butylimido-tris-ethylmethylamido-tantalum (TBTEMT) was applied for the atomic layer deposition (ALD) of tantalum oxide (Ta 2 O 5 ) thin films for the first time. Water was used as the second reactant. A self-limiting, and hence, ALD-like film growth was confirmed in the temperature range from 100 to 300 °C. The temperature window of this process extends from 250 to 300 °C and features a growth rate of about 0.56 A/cycle. For lower temperatures, the growth rate increases gradually up to 0.92 A/cycle at 100 °C. At a deposition temperature of 200 °C, the process showed perfect layer-by-layer growth with 0.64 A/cycle and without any noticeable incubation period on both silicon with native oxide and hydrogen-terminated silicon. In addition, the conformal coating of structures with an aspect ratio of 40:1 is demonstrated as well. According to XPS analyses, the films are oxygen rich (Ta:O ratio around 0.34 ± 0.01 for films grown at 150–300 °C) and contain a significant amount of carbon (6 ± 2 at.%) and some nitrogen ( 3 , 2.25 and 31, respectively. Films grown at 200 °C are amorphous and smooth. They exhibit a film density of 7.8 ± 0.1 g/cm 3 , a refractive index of 2.17 (at 550 nm) and a dielectric constant of 26 ± 1. However, the films suffer from high leakage currents (> 10 − 4 A/cm 2 ). In addition, electrical measurements revealed the formation of an interfacial layer between the Ta 2 O 5 films and bare silicon. By using substrates with a thin thermally grown silicon oxide, the leakage could be reduced by three orders of magnitude. Post-deposition annealing at 800 °C in nitrogen resulted in the crystallization of the Ta 2 O 5 films, which is also accompanied by an increase in film density and refractive index. Moreover, the crystallized films exhibit an enhanced dielectric constant of 48 ± 2. Electrical measurements revealed the growth of an interfacial layer with an equivalent oxide thickness of around 2.4 nm due to the 800 °C annealing. While this interfacial layer degrades the effective permittivity of the dielectric ( e.g. 20.5 ± 0.5 for a 20 nm Ta 2 O 5 film), it also causes a reduction of the leakage currents by more than three orders of magnitude ( e.g. to 1·10 − 7 A/cm 2 for a 20 nm Ta 2 O 5 film).
- Published
- 2017
- Full Text
- View/download PDF
10. Demonstration and Endurance Improvement of p-channel Hafnia-based Ferroelectric Field Effect Transistors
- Author
-
Claudia Richter, Milan Pešić, Felix Winkler, Johann W. Bartha, Michael J. Hoffmann, and Thomas Mikolajick
- Subjects
010302 applied physics ,Materials science ,biology ,business.industry ,ddc:621.3 ,Interface (computing) ,Hafnia ,biology.organism_classification ,01 natural sciences ,Ferroelectricity ,P channel ,elemental semiconductors, ferroelectric devices, field effect transistors, hafnium, interface states, MFIS structures, semiconductor device breakdown, silicon ,Power consumption ,Elementar-Halbleiter, ferroelektrische Bauelemente, Feldeffekttransistoren, Hafnium, Grenzflächenzustände, MFIS-Strukturen, Durchbruch von Halbleiterbauelementen, Silizium ,0103 physical sciences ,Scalability ,Degradation (geology) ,Optoelectronics ,Field-effect transistor ,business - Abstract
So far, only CMOS compatible and scalable hafnia-zirconia (HZO) based ferroelectric (FE) n-FeFETs have been reported. To enable the full ferroelectric hierarchy [1] both p- and n-type devices should be available. Here we report a p-FeFET with a large memory window (MW) for the first time. Moreover, we propose different integration schemes comprising structures with and without internal gate resulting in metal-FE-insulator-Si (MFIS) and metal-FE-metal-insulator-Si (MFMIS) devices which could be used to tackle the problem of interface (IF) degradation and possibly decrease the power consumption of the devices.
- Published
- 2020
11. Growth of aluminum oxide thin films with enhanced film density by the integration of in situ flash annealing into low-temperature atomic layer deposition
- Author
-
Johann W. Bartha, Christoph Hossbach, Thomas Henke, Matthias Albert, Marion Geidel, and Martin Knaut
- Subjects
010302 applied physics ,Materials science ,Annealing (metallurgy) ,Nanotechnology ,02 engineering and technology ,Surfaces and Interfaces ,General Chemistry ,Thermal treatment ,Dielectric ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Surfaces, Coatings and Films ,Amorphous solid ,Atomic layer deposition ,Chemical engineering ,0103 physical sciences ,Materials Chemistry ,Thin film ,0210 nano-technology ,Refractive index ,Leakage (electronics) - Abstract
Aluminum oxide (Al 2 O 3 ) thin films grown by atomic layer deposition (ALD) at low temperatures exhibit low film densities, and therefore, may not meet the requirements for demanding barrier applications. In this work, a novel approach is presented to obtain improved film densities by short-term thermal treatments while keeping the overall low thermal budget of the deposition process. For that purpose, millisecond flash lamp annealing (FLA) was directly integrated into the ALD process, enabling the thermal treatment of the growing films not only subsequent to the deposition process but already right during the stage of film growth. By applying this in situ FLA after every single ALD cycle, the density of Al 2 O 3 films grown at a substrate temperature of 75 °C could be increased from 2.8 g/cm 3 up to 3.1 g/cm 3 . However, this 10% increase in film density was not related to an ordinary film densification. Instead, the studies reveal that in situ FLA promoted an enhanced and denser film growth, most probably by directly affecting the surface chemistry of the ALD process. The enhanced film growth was observed in terms of a 25% increase in the mass gain per cycle, reaching a level comparable to pure ALD at a deposition temperature of 250 °C. Furthermore, the application of in situ FLA also resulted in an improved film composition, increased refractive indices, higher dielectric constants and reduced leakage currents. The presence of molecular hydrogen during the FLA treatments led to an even further improved mass gain per cycle, film composition and dielectric constant. Despite the FLA treatments the films remained amorphous. In addition, the film densities obtained by the application of in situ FLA were not achieved by conventional post deposition annealing with temperatures up to 600 °C.
- Published
- 2017
- Full Text
- View/download PDF
12. Atomic layer deposited TiO /AlO nanolaminates as moisture barriers for organic devices
- Author
-
Aarti Singh, Matthias Albert, Frederik Nehm, Thomas Mikolajick, Karl Leo, Hannes Klumbies, Felix Dollinger, Lars Müller-Meskamp, Claudia Richter, Johann W. Bartha, Christoph Hossbach, and Uwe Schroeder
- Subjects
010302 applied physics ,Materials science ,Moisture ,Organic devices ,Nanotechnology ,02 engineering and technology ,General Chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Corrosion ,Total thickness ,Biomaterials ,Atomic layer deposition ,Moisture barrier ,0103 physical sciences ,Materials Chemistry ,OLED ,Electrical and Electronic Engineering ,Composite material ,0210 nano-technology ,Single layer - Abstract
Atomic layer deposited nanolaminates of alternating AlOx and TiOx thin-films are investigated as moisture barriers for organic electronic devices. Direct encapsulation on organic light emitting diodes (OLEDs) is tested in aging experiments and compared to calcium corrosion tests of equivalent barrier films. This allows for a direct assessment of moisture barrier performance in simple as well as more complex systems. Thickness variations are performed for the nanolaminate single and total layer thickness, with an optimum single layer thickness of 1–2 nm observed. This correlates to the maximum number of dyads once completely closed single layers are produced. For large single layer thickness and low dyad count, strong lateral diffusion from the edges occurs in the OLEDs, which likely correlates to poor mechanical stability. At optimum single layer thickness, barriers remain mechanically and chemically stable up to 100 nm total thickness. OLEDs encapsulated with such nanolaminate barriers show no significant degradation after 2500 h of continuous aging.
- Published
- 2016
- Full Text
- View/download PDF
13. The Doping of Si p‐Field‐Effect Transistor Devices by Gallium Focused Ion Beam Implantation Enabling Flexible Fabrication Routes at Moderate Temperatures
- Author
-
Johann W. Bartha, Christian Wenzel, Felix Winkler, and C. Strobel
- Subjects
Fabrication ,Materials science ,business.industry ,Doping ,chemistry.chemical_element ,Surfaces and Interfaces ,Condensed Matter Physics ,Focused ion beam ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,Materials Chemistry ,Optoelectronics ,Field-effect transistor ,Electrical and Electronic Engineering ,Gallium ,business - Published
- 2020
- Full Text
- View/download PDF
14. Investigation of Fluoro-Carbon Layer on Dense Sicoh Formed during CF4 and CF4/C4F6 Based Continuous Wave Plasma Etch
- Author
-
Johann W. Bartha, Abhishek Vatsal, Sebastian Oehler, Varvara Brackmann, and Matthias Rudolph
- Subjects
Plasma etching ,Materials science ,Continuous wave ,Composite material ,Carbon layer - Abstract
Motivation: Plasma etching of low-k and ultra low-k (ULK) dielectric materials have seen a tremendous growth in deep nanoscale applications. Fluorocarbon based gases are the forerunners in etching low-k dielectrics as they are the F suppliers necessary to remove Si and C from SiCOH material. Different FC gases in combination with the additive gases contribute differently to the etch behavior of SiCOH due to differing reaction chemistry and formation of Fluorocarbon layer as an interfacial layer between plasma front and dielectric front [i]. Due to dynamic formation and etching of FC Layer happening at the dielectric surface, understanding FC layer properties in each gas plasma is the starting step to control etch rate and plasma induced damage in Low-k dielectrics. This paper aims to study and compare FC layer deposition by different gases grouped into CF4 and CF4/C4F6 family with N2, O2 and H2 as additive gases in each group. FC layer thickness, etch rate, optical properties of etched SiCOH and chemical composition of the FC layer by different plasma treatments have been presented in this work. Methodology: Dense SiCOH (k=2.75 and Open Porosity: 7%) with initial thickness of 157±3nm is deposited on 300mm Si Wafer with a thin SiO2 adhesion layer in between. These wafers are blanket etched in a commercial etch chamber with the given gas combinations (CF4, CF4/N2, CF4/O2, CF4/H2; CF4/C4F6, CF4/C4F6/N2, CF4/C4F6/O2, CF4/C4F6/H2) for same amount of time, total gas flow rate, similar power, pressure and temperature settings. Post Etch blanket wafers are subjected to various in-line and off-line metrology tools. In-line spectroscopic ellipsometer is used to model complex refractive index and thickness of individual layers. Tauc-Lorentz model best describes the FC layer whereas Cauchy model describes the dielectric [ii]. In-line XPS was carried out to probe the surface of FC layer and gain chemical information. Furthermore depth profile of etched layer is carried out by sputtering the surface with low power Ar ions to study variations in chemical composition with the layer depth. SEM is used to see the cross section profile and verify the results from above method Observation: Thickness evaluation from the dispersion models shows that the CF4 group has higher etch rate and lower FC layer thickness compared to CF4/C4F6 group. C4F6 has higher polymerization ability by the virtue of its lower F/C ratio. Amongst each group, Oxygen as additive gas shows the highest etch rate and least FC thickness followed by Nitrogen whereas Hydrogen has least etch rate but highest FC layer thickness. Under given etch conditions with CF4/C4F6/H2 plasma, deposition rate was higher than etching rate leading to stack growth (Fig.1a). Hydrogen supports polymerization by scavenging Fluorine away and aids in thicker FC films. XPS chemical composition shows Fluorine diffusion through FC layer into the dielectric layer bringing changes to its pristine structure. This affects Carbon% across the etched dielectric thickness (Fig.1b). Thicker the FC layer is, closer is the Carbon % to pristine SiCOH. Presence of surficial Nitrogen in the nitrogen containing plasmas shows nitrogen reacts with SiCOH Carbon, forms CN compounds and increases the etch rate. FC layer shows presence of CF3 radicals on the surface and decrease fast with the depth, followed by CF2 radicals. CF radicals are in larger quantity and are present deepest into the FC Layer. Presence of polymerized C-C and C-CFx bonds increases with the FC Layer depth and offset with increase of C-Si bond near the SiCOH interface. Conclusion: This paper shows comparison of Etch Rate and FC layer thickness during SiCOH etch using different gas combinations in the CCP plasma chamber. Thickness correlation between ellipsometric model data and XPS depth profile followed by SEM cross section measurement of layer thickness point towards the correctness of dispersion models for each layer. These models can be used for quick inline post etch metrology useful for process control. O2 containing plasmas are too aggressive on the dielectric with negligible FC layer formation, while H2 containing plasmas are less damaging but exhibit very small etch rate. CF4/C4F6/N2 plasma is the optimum combination for Low-k dielectric etch amongst other combinations in terms of desired etch rate and lower reduction in Carbon %. Relative concentration and penetration depth of different CFx radicals in FC film suggests its influence on film structure. References: Baklanov et.al, Journal of Applied Physics 113, 041101 (2013); doi: 10.1063/1.4765297 T. Easwarakhanthan et al., Journal of Applied Physics 101, 073102 (2007); doi: 10.1063/1.2719271 Figure 1
- Published
- 2020
- Full Text
- View/download PDF
15. Direct plasma-enhanced atomic layer deposition of aluminum nitride for water permeation barriers
- Author
-
Johann W. Bartha, Frederik Nehm, Johanna Reif, David Dustin Fischer, Matthias Albert, and Martin Knaut
- Subjects
Atomic layer deposition ,Materials science ,X-ray photoelectron spectroscopy ,Scanning electron microscope ,Photoemission spectroscopy ,Analytical chemistry ,Electrical measurements ,Surfaces and Interfaces ,Thin film ,Nitride ,Condensed Matter Physics ,Layer (electronics) ,Surfaces, Coatings and Films - Abstract
Oxygen-free, transparent, and insulating thin films at fabrication temperatures below 100 °C are important for sensitive materials and interfaces, like organic electronics. In this work, a capacitive coupled plasma-enhanced atomic layer deposition process of aluminum nitride with trimethylaluminum as a precursor and a mixture of hydrogen/nitrogen as the reactive gas was studied at 80 and 200 °C. The film properties were characterized by spectroscopic ellipsometry, x-ray photoelectron spectroscopy, scanning electron microscopy, and electrical measurements. The growth per cycle stayed constant at around 1 A, and the refractive index decreased slightly from 1.97 at 200 °C to 1.93 at 80 °C. While the AFM surface roughness was below 0.5 nm at 80 °C deposition temperature, scanning electron microscopy images reveal blister generation at 200 °C on silicon. The x-ray photoemission spectroscopy measurements show a layer composition of Al:N of 1.0:0.9 with a few percent of oxygen and carbon, indicating good air stability and reasonable stoichiometry. The metal-insulator-metal capacitance measurements showed a dielectric constant of 11 at both temperatures. The water vapor transmission rate for a 20 nm thick film on polyethylene naphthalate films was lower than 0.5 mg/(m2⋅day) at 38 °C/90%.Oxygen-free, transparent, and insulating thin films at fabrication temperatures below 100 °C are important for sensitive materials and interfaces, like organic electronics. In this work, a capacitive coupled plasma-enhanced atomic layer deposition process of aluminum nitride with trimethylaluminum as a precursor and a mixture of hydrogen/nitrogen as the reactive gas was studied at 80 and 200 °C. The film properties were characterized by spectroscopic ellipsometry, x-ray photoelectron spectroscopy, scanning electron microscopy, and electrical measurements. The growth per cycle stayed constant at around 1 A, and the refractive index decreased slightly from 1.97 at 200 °C to 1.93 at 80 °C. While the AFM surface roughness was below 0.5 nm at 80 °C deposition temperature, scanning electron microscopy images reveal blister generation at 200 °C on silicon. The x-ray photoemission spectroscopy measurements show a layer composition of Al:N of 1.0:0.9 with a few percent of oxygen and carbon, indicating good air sta...
- Published
- 2020
- Full Text
- View/download PDF
16. Towards Full-area Passivating Contacts for Silicon Surfaces based on Al2O3-TiOx Double Layers
- Author
-
Thomas Mikolajick, Matthias Grube, Johann W. Bartha, Martin Knaut, Johanna Reif, and David Tröger
- Subjects
010302 applied physics ,Double layer (biology) ,Materials science ,Silicon ,Passivation ,business.industry ,Doping ,chemistry.chemical_element ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,01 natural sciences ,Atomic layer deposition ,chemistry ,Stack (abstract data type) ,Sputtering ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business - Abstract
In order to remove the local openings for contacting PERC Solar cells, one has to introduce passivating contacts. The Al 2 O 3 -TiO x double layer stack is an attractive candidate for this purpose. This study will guide a way to enhance the conductivity of those contacts by doping TiO x with a. Additionally, it is shown, that major parts of the stacks are deposited by sputtering. This demonstrates a higher feasibility for industrial applications than atomic layer deposition as reported earlier [1], [2].
- Published
- 2018
- Full Text
- View/download PDF
17. A wired-AND transistor: Polarity controllable FET with multiple inputs
- Author
-
Maik Simon, Jens Trommer, Yordan M. Georgiev, Muhammad Bilal Khan, Martin Knaut, Johann W. Bartha, T. Mikolaiick, Walter M. Weber, Arthur Erbe, Tim Baldauf, B. Liang, Andre Heinzig, and Dustin Fischer
- Subjects
Logische Gatter, Knotenpunkte, Multiplexing, Schalter, Drähte, Feldeffekttransistoren ,Materials science ,ddc:621.3 ,Polarity (physics) ,business.industry ,Transistor ,Electrical engineering ,Conductance ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Multiplexer ,020202 computer hardware & architecture ,law.invention ,Logic gates, Junctions, Multiplexing, Switches, Wires, Field effect transistors ,law ,Hardware_INTEGRATEDCIRCUITS ,0202 electrical engineering, electronic engineering, information engineering ,Field-effect transistor ,0210 nano-technology ,business ,Hardware_LOGICDESIGN ,Voltage - Abstract
Introduction: Reconfigurable field effect transistors (RFET) have the ability to toggle polarity between n- and pconductance at runtime [1], [2]. The here presented multiple independent gate (MIG) RFET expands the device functionality by offering additional logical inputs, valuable for e.g. efficient XOR or majority gate implementations [3], [4] or the here originally presented multiplexer circuit. Moreover, for the first time with a top-down RFET approach equal ON-currents are obtained for every configuration while requiring only one supply voltage (VDD). Working principle: The presented RFET consists of an intrinsic silicon nanowire channel (Fig. 1a). At both ends NiSi₂ is intruded, which has a work function aligned near to the middle of the Si band gap. Each of the resulting Schottky junctions is gated individually (CG1, PG) and additional gates are introduced along the channel (CG2, CG3). The program gate (PG) determines the device’s polarity and has the same potential as the corresponding drain (0 V for hole or VDD for electron conductance). If all control gates (CG1-3) are biased equally, the RFET turns ON Fig. 3a, b, f, g). Whenever any or all CGs are biased equally to the source’s potential, a potential barrier is formed, switching the RFET OFF (Fig. 3c-e, h-j). Hence, the FET works as wired-AND logic gate (Fig. 6a). The fabrication with CMOS compatible processes and materials is based on a 20 nm silicon-on-insulator (SOI) wafer and requires no doping. The nanowire is formed by a reactive ion etch process [5]. By repeated oxidation and HF etching the wire is further rounded and thinned to ca. 60 nm width and 4 nm height. An omega-shaped gate stack of 5 nm SiO₂, and conductive TiN, Ti and Pt surrounds and radially compresses the wire (Fig. 1b). Finally, nickel is deposited at both ends of the wire and atomically abrupt and flat NiSi₂ Schottky junctions are formed (Fig. 1c). Performance: The transfer characteristics in Fig. 4 reveal an ON/OFF ratio of five orders of magnitudes and ONcurrents which are equal regardless of the programmed configuration. Additionally, only one supply voltage is needed for gates and drain. Despite its necessity for the use in complementary logic circuits, this symmetry was never achieved before for top-down fabricated RFETs. For NiSi₂ and Si, the Schottky barrier height is slightly lower for holes than for electrons leading to initially asymmetric ON-currents. However, by the influence of the omega gate stressor on the band structure the electron and hole injection through the Schottky barrier can be equalized as demonstrated in Fig. 2 [6]. Fig. 4 further shows that the minimum subthreshold swing SS and threshold voltage are lower for the switching with CG2 and CG3 than for all combinations including CG1. This is because CG1 directly controls the inlet at the Schottky junction whereas the other gates only build a conventional channel barrier. Having two efficiently switching gates (CG2+3), as demonstrated for the first time, thus improves the efficiency of RFETs. From Fig. 5 it can be seen that the gates’ voltage determines the shape of the output characteristics. Hole dominated currents rise with retard because the injecting Schottky barrier is still non-transparent for tunneling at VD = 0 V. Applications of the device reach from camouflage circuits for hardware secure authentication [7] and fine-grained FPGAs [8] over area and power-delay optimized circuits [3], [4] to novel logic synthesis based on majority-inverter graphs [9]. As a graspable and novel example, the presented device can serve as transmission gate (Fig. 6b) in a multiplexer (MUX) (Fig. 6c, d), which requires program gates at both in- and output. An n-bit MUX can be reduced by every second stage, thus only (2^[n+1]− 2^i)/3 transmission gates are required, with i=1 for odd and i=2 for even numbers of n (with classical CMOS: 2^[n+1] − 2). For a 4-bit MUX this results in altogether 30% less transistors when considering also the select and program signal inverters and the reduced buffer needs (Fig. 6e). Eventually, more gates per transistor can further reduce the transistor count, e.g. for a 5-gate RFET in a 6-bit MUX by even 42%. [1] A. Heinzig et al., Nano Lett., vol. 12, no. 1, pp. 119–124, (2012). [2] M. D. Marchi et al., in Electron Devices Meeting (IEDM), 2012 IEEE International, pp. 8.4.1–8.4.4, (2012). [3] P.-E. Gaillardon et al., in Test Symposium (LATS), 2016 17th Latin-American, pp. 195–200, (2016). [4] J. Trommer et al., in 2016 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 169–174, (2016). [5] M. Simon et al., IEEE Trans. Nanotechnol., vol. 16, no. 5, pp. 812–819, (2017). [6] T. Baldauf et al., Solid-State Electron., vol. 128, pp. 148–154, (2017). [7] Y. Bi et al., in 2014 IEEE 23rd Asian Test Symposium, pp. 342–347, (2014). [8] P. E. Gaillardon et al., IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no. 10, pp. 2187–2197, (2015). [9] L. Amaru et al., Proc. IEEE, vol. 103, no. 11, pp. 2168–2195, (2015).
- Published
- 2018
- Full Text
- View/download PDF
18. Al2O3-TiO2 Nanolaminates for Conductive Silicon Surface Passivation
- Author
-
Paul M. Jordan, Thomas Mikolajick, Talha Chohan, Daniel K. Simon, Johann W. Bartha, Martin Knaut, and Ingo Dirnstorfer
- Subjects
010302 applied physics ,Materials science ,Passivation ,Silicon ,Annealing (metallurgy) ,business.industry ,Contact resistance ,chemistry.chemical_element ,Nanotechnology ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Amorphous solid ,Atomic layer deposition ,chemistry ,0103 physical sciences ,Optoelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,Forming gas - Abstract
Al2O3-TiO2 nanolaminates are very attractive candidates for future conductive passivation layers because they are purely based on dielectric materials, which allow a simple integration in the state-of-the-art manufacturing process. In this study, Al2O3-TiO2 double and multilayers are grown by atomic layer deposition and systematically investigated. The nanolaminates feature good silicon surface passivation and moderate electrical conductivity. The best performance is found for a double-layer stack consisting of a 5 nm Al2O3 interface layer and a 15 nm TiO2 capping layer after postdeposition annealing in N2 or forming gas. With this stack, a surface recombination velocity of 15 cm/s and a contact resistance of 20 Ω·cm2 are achieved. In Al2O3 -TiO2 nanolaminates, the electrical transport is strongly influenced by the interaction of TiO2 and Al2O3 during layer growth. Raman measurements reveal that high conductivity correlates with a phase transition of TiO2 from amorphous to anatase.
- Published
- 2016
- Full Text
- View/download PDF
19. High efficiency high rate microcrystalline silicon thin-film solar cells deposited at plasma excitation frequencies larger than 100 MHz
- Author
-
Matthias Albert, Johann W. Bartha, S. Michard, U. Merkel, C. Strobel, Dustin Fischer, B. Leszczynska, Jakub Holovský, and J. Kuske
- Subjects
High rate ,Materials science ,Silicon ,Renewable Energy, Sustainability and the Environment ,business.industry ,chemistry.chemical_element ,Plasma ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry ,Microcrystalline silicon ,Plasma-enhanced chemical vapor deposition ,law ,Homogeneity (physics) ,Solar cell ,Optoelectronics ,business ,Excitation - Abstract
Microcrystalline silicon thin-film solar cells were fabricated at high absorber layer deposition rates from 1.0 up to 2.5 nm/s. High efficiencies of 9.6% (1.0 nm/s) and 8.6% (2.5 nm/s) were achieved using a very high frequency (VHF) of 140 MHz for the deposition of all silicon layers (p–i–n). Using such a high frequency in the VHF band is unique in the field of thin-film silicon solar cells. The efficiencies obtained especially at very high rates belong to the highest reported efficiencies so far for this technology. This shows that VHF deposition with frequencies larger than 100 MHz is very well suited for a highly productive solar cell fabrication. The VHF power homogeneity problem can be solved by using for example the linear plasma source concept developed at FAP GmbH/TU-Dresden. We show that the efficiency at very high rates of 2.5 nm/s is limited by an increased crack formation in the absorber layer.
- Published
- 2015
- Full Text
- View/download PDF
20. Low-thermal budget flash light annealing for Al2O3surface passivation
- Author
-
Daniel K. Simon, Thomas Henke, Ingo Dirnstorfer, Paul M. Jordan, Thomas Mikolajick, Franz P. G. Fengler, and Johann W. Bartha
- Subjects
Atomic layer deposition ,Passivation ,Annealing (metallurgy) ,Chemistry ,Fixed charge ,Thermal ,Trap density ,Analytical chemistry ,General Materials Science ,Plasma ,Condensed Matter Physics ,Flash light - Abstract
This value is achieved due to a very low interface trap density of below 1010 eV–1 cm–2 and a fixed charge density of (2–3) × 1012 cm–2. In contrast, plasma ALD-grown Al2O3 layers only reach carrier lifetimes of about 1 ms. This is mainly caused by a more than 10 times higher density of interface traps, and thus, inferior chemical passivation. The strong influence of the deposition parameters is explained by the limitation of hydrogen transport in Al2O3 during low-thermal budget annealing. (© 2015 WILEY-VCH Verlag GmbH &Co. KGaA, Weinheim)
- Published
- 2015
- Full Text
- View/download PDF
21. Breakdown and Protection of ALD Moisture Barrier Thin Films
- Author
-
Tobias Mönch, Matthias Albert, Karl Leo, Thomas Mikolajick, Aarti Singh, Lars Müller-Meskamp, Claudia Richter, Hannes Klumbies, Frederik Nehm, Uwe Schroeder, Christoph Hoßbach, and Johann W. Bartha
- Subjects
chemistry.chemical_classification ,Materials science ,food and beverages ,Nanotechnology ,Polymer ,Corrosion ,Atomic layer deposition ,chemistry ,Surface roughening ,Moisture barrier ,General Materials Science ,Relative humidity ,Composite material ,Thin film ,Water vapor - Abstract
The water vapor barrier properties of low-temperature atomic layer deposited (ALD) AlOx thin-films are observed to be unstable if exposed directly to high or even ambient relative humidities. Upon exposure to humid atmospheres, their apparent barrier breaks down and their water vapor transmission rates (WVTR), measured by electrical calcium tests, deteriorate by several orders of magnitude. These changes are accompanied by surface roughening beyond the original thickness, observed by atomic force microscopy. X-ray reflectivity investigations show a strong decrease in density caused by only 5 min storage in a 38 °C, 90% relative humidity climate. We show that barrier stabilities required for device applications can be achieved by protection layers which prevent the direct contact of water condensing on the surface, i.e., the sensitive ALD barrier. Nine different protection layers of either ALD materials or polymers are tested on the barriers. Although ALD materials prove to be ineffective, applied polymers seem to provide good protection independent of thickness, surface free energy, and deposition technique. A glued-on PET foil stands out as a low-cost, easily processed, and especially stable solution. This way, 20 nm single layer ALD barriers for organic electronics are measured. They yield reliable WVTRs down to 2×10(-5) g(H2O) m(-2) day(-1) at 38 °C and 90% relative humidity, highlighting the great potential of ALD encapsulation.
- Published
- 2015
- Full Text
- View/download PDF
22. Current Modulation of a Heterojunction Structure by an Ultra-Thin Graphene Base Electrode
- Author
-
Matthias Albert, Johann W. Bartha, C. Strobel, Christian Wenger, Carlos Alvarado Chavarin, Julia Kitzmann, Antonio Di Bartolomeo, and Mindaugas Lukosius
- Subjects
Amorphous silicon ,Materials science ,Transconductance ,Thermionic emission ,02 engineering and technology ,Substrate (electronics) ,01 natural sciences ,lcsh:Technology ,Article ,law.invention ,chemistry.chemical_compound ,law ,0103 physical sciences ,General Materials Science ,lcsh:Microscopy ,lcsh:QC120-168.85 ,010302 applied physics ,lcsh:QH201-278.5 ,business.industry ,Graphene ,lcsh:T ,Doping ,Heterojunction ,021001 nanoscience & nanotechnology ,Vertical transistors ,Semiconductor ,chemistry ,lcsh:TA1-2040 ,Optoelectronics ,graphene ,amorphous silicon ,vertical transistors ,lcsh:Descriptive and experimental mechanics ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,0210 nano-technology ,business ,lcsh:Engineering (General). Civil engineering (General) ,lcsh:TK1-9971 - Abstract
Graphene has been proposed as the current controlling element of vertical transport in heterojunction transistors, as it could potentially achieve high operation frequencies due to its metallic character and 2D nature. Simulations of graphene acting as a thermionic barrier between the transport of two semiconductor layers have shown cut-off frequencies larger than 1 THz. Furthermore, the use of n-doped amorphous silicon, (n)-a-Si:H, as the semiconductor for this approach could enable flexible electronics with high cutoff frequencies. In this work, we fabricated a vertical structure on a rigid substrate where graphene is embedded between two differently doped (n)-a-Si:H layers deposited by very high frequency (140 MHz) plasma-enhanced chemical vapor deposition. The operation of this heterojunction structure is investigated by the two diode-like interfaces by means of temperature dependent current-voltage characterization, followed by the electrical characterization in a three-terminal configuration. We demonstrate that the vertical current between the (n)-a-Si:H layers is successfully controlled by the ultra-thin graphene base voltage. While current saturation is yet to be achieved, a transconductance of ~230 μ S was obtained, demonstrating a moderate modulation of the collector-emitter current by the ultra-thin graphene base voltage. These results show promising progress towards the application of graphene base heterojunction transistors.
- Published
- 2018
- Full Text
- View/download PDF
23. Thickness dependent barrier performance of permeation barriers made from atomic layer deposited alumina for organic devices
- Author
-
Matthias Albert, P. Schmidt, Aarti Singh, Karl Leo, Lars Müller-Meskamp, Claudia Richter, Thomas Mikolajick, Markus Hähnel, Uwe Schroeder, Christoph Hoßbach, Johann W. Bartha, and Hannes Klumbies
- Subjects
Materials science ,Ozone ,Chemistry(all) ,Thickness dependency ,Organic solar cell ,Organic devices ,Nanotechnology ,Biomaterials ,chemistry.chemical_compound ,Atomic layer deposition ,Electrodeposition ,Materials Chemistry ,OLED ,Electrical and Electronic Engineering ,WVTR ,Thickness dependent ,business.industry ,Defect density ,General Chemistry ,Permeation ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,chemistry ,ALD ,Optoelectronics ,business ,Layer (electronics) - Abstract
Organic devices like organic light emitting diodes (OLEDs) or organic solar cells degrade fast when exposed to ambient air. Hence, thin-films acting as permeation barriers are needed for their protection. Atomic layer deposition (ALD) is known to be one of the best technologies to reach barriers with a low defect density at gentle process conditions. As well, ALD is reported to be one of the thinnest barrier layers, with a critical thickness – defining a continuous barrier film – as low as 5–10nm for ALD processed Al2O3. In this work, we investigate the barrier performance of Al2O3 films processed by ALD at 80°C with trimethylaluminum and ozone as precursors. The coverage of defects in such films is investigated on a 5nm thick Al2O3 film, i.e. below the critical thickness, on calcium using atomic force microscopy (AFM). We find for this sub-critical thickness regime that all spots giving raise to water ingress on the 20×20μm2 scan range are positioned on nearly flat surface sites without the presence of particles or large substrate features. Hence below the critical thickness, ALD leaves open or at least weakly covered spots even on feature-free surface sites. The thickness dependent performance of these barrier films is investigated for thicknesses ranging from 15 to 100nm, i.e. above the assumed critical film thickness of this system. To measure the barrier performance, electrical calcium corrosion tests are used in order to measure the water vapor transmission rate (WVTR), electrodeposition is used in order to decorate and count defects, and dark spot growth on OLEDs is used in order to confirm the results for real devices. For 15–25nm barrier thickness, we observe an exponential decrease in defect density with barrier thickness which explains the likewise observed exponential decrease in WVTR and OLED degradation rate. Above 25nm, a further increase in barrier thickness leads to a further exponential decrease in defect density, but an only sub-exponential decrease in WVTR and OLED degradation rate. In conclusion, the performance of the thin Al2O3 permeation barrier is dominated by its defect density. This defect density is reduced exponentially with increasing barrier thickness for alumina thicknesses of up to at least 25nm.
- Published
- 2015
- Full Text
- View/download PDF
24. Area-selective atomic layer deposition of Ru on electron-beam-written Pt(C) patterns versus SiO
- Author
-
Marcel, Junige, Markus, Löffler, Marion, Geidel, Matthias, Albert, Johann W, Bartha, Ehrenfried, Zschech, Bernd, Rellinghaus, and Willem F van, Dorp
- Abstract
Area selectivity is an emerging sub-topic in the field of atomic layer deposition (ALD), which employs opposite nucleation phenomena to distinct heterogeneous starting materials on a surface. In this paper, we intend to grow Ru exclusively on locally pre-defined Pt patterns, while keeping a SiO
- Published
- 2017
25. Micro structured coupling elements for 3D silicon optical interposer
- Author
-
Dirk Plettemeier, Krzysztof Nieweglowski, Niels Neumann, Sujay Charania, Sebastian Lungen, Zaid Al-Husseini, Karlheinz Bock, Sebastian Killge, and Johann W. Bartha
- Subjects
Fabrication ,Materials science ,Silicon ,ddc:621.3 ,business.industry ,Optical interconnect ,chemistry.chemical_element ,Nanotechnology ,Optischer Interposer, optische Koppelelemente, 3D optisches Verbindungsnetzwerk, Mikrostrukturierungstechniken, optisches TSV, Nanoimprinttechnologie, 3D-Integration ,02 engineering and technology ,Cladding (fiber optics) ,Optical interposer, optical coupling elements, 3D optical interconnect network, micro structuring techniques, optical TSV, nanoimprint technology, 3D integration ,Waveguide (optics) ,Nanoimprint lithography ,law.invention ,020210 optoelectronics & photonics ,chemistry ,law ,0202 electrical engineering, electronic engineering, information engineering ,Interposer ,Optoelectronics ,Wafer dicing ,ddc:620 ,business - Abstract
Current trends in electronic industry, such as Internet of Things (IoT) and Cloud Computing call for high interconnect bandwidth, increased number of active devices and high IO count. Hence the integration of on silicon optical waveguides becomes an alternative approach to cope with the performance demands. The application and fabrication of horizontal (planar) and vertical (Through Silicon Vias - TSVs) optical waveguides are discussed here. Coupling elements are used to connect both waveguide structures. Two micro-structuring technologies for integration of coupling elements are investigated: μ-mirror fabrication by nanoimprint (i) and dicing technique (ii). Nanoimprint technology creates highly precise horizontal waveguides with polymer (refractive index nC = 1.56 at 650 nm) as core. The waveguide ends in reflecting facets aligned to the optical TSVs. To achieve Total Internal Reflection (TIR), SiO2 (nCl = 1.46) is used as cladding. TSVs (diameter 20-40μm in 200-380μm interposer) are realized by BOSCH process1, oxidation and SU-8 filling techniques. To carry out the imprint, first a silicon structure is etched using a special plasma etching process. A polymer stamp is then created from the silicon template. Using this polymer stamp, SU-8 is imprinted aligned to vertical TSVs over Si surface.Waveguide dicing is presented as a second technology to create coupling elements on polymer waveguides. The reflecting mirror is created by 45° V-shaped dicing blade. The goal of this work is to develop coupling elements to aid 3D optical interconnect network on silicon interposer, to facilitate the realization of the emerging technologies for the upcoming years.
- Published
- 2017
- Full Text
- View/download PDF
26. 3D Optical Coupling Techniques on Polymer Waveguides for Wafer and Board Level Integration
- Author
-
Lukas Lorenz, Krzysztof Nieweglowski, Johann W. Bartha, Sebastian Killge, Sebastian Lungen, Tobias Tiedje, Sujay Charania, and Karlheinz Bock
- Subjects
Fabrication ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Cladding (fiber optics) ,Waveguide (optics) ,020210 optoelectronics & photonics ,Optics ,Planar ,chemistry ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Wafer dicing ,Wafer ,0210 nano-technology ,business ,Lithography - Abstract
In this work we discuss optical coupling technologies for the manufacturing of out-of-plane optics integrated in planar waveguide for interposer-and board-level. Each technology is evaluated on a silicon interposer with optical dielectric through silicon vias (TSV) with SU8 (core) and SiO2 (cladding). First, applying a nanoimprint technology a polymer waveguide has been created with round-shape curvatures to realize focusing of the light, which enhances the coupling efficiency. Furthermore due to the round shape the light is focused in the waveguide to reduce the transmission losses. Additionally as a second technology a moving mask method is shown to achieve a tilted mirror face by this special exposure procedure for better compability of mirror fabrication with lithography processes. As a third technology the mirror fabrication by dicing into a polymer waveguide has been applied, which results in a V-groove mirror. The feasibility of all fabrication technologies have been performed on rigid substrate. For enhanced yield of electro-optical system implementation of out-of plane optics on flexible substrate has been performed. In this case diced mirrors have been manufactured on polymeric waveguides with Ormocere® on a flexible PEN-foil. The influence of dicing blade on optical losses caused by the scattering on rough diced mirror surface has been analyzed. The min. power losses of the diced mirror of 0.22 dB have been measured.
- Published
- 2017
- Full Text
- View/download PDF
27. In vacuo studies on plasma-enhanced atomic layer deposition of cobalt thin films
- Author
-
Johann W. Bartha, Matthias Albert, Felix Winkler, Johanna Reif, Sebastian Killge, and Martin Knaut
- Subjects
Argon ,Materials science ,Hydrogen ,Scanning electron microscope ,020209 energy ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Atomic layer deposition ,chemistry ,X-ray photoelectron spectroscopy ,Sputtering ,0202 electrical engineering, electronic engineering, information engineering ,Thin film ,0210 nano-technology ,Cobalt - Abstract
Plasma-enhanced atomic layer deposition (PE-ALD) of cobalt (Co) using cyclopentadienylcobalt dicarbonyl [CpCo(CO)2] combined with hydrogen, nitrogen, ammonia, and argon based plasma gases was investigated. The utilized ALD tool was clustered to an ultrahigh vacuum analytic system for direct surface analyses including X-ray photoelectron spectroscopy (XPS). The combination with a nondestructive surface analysis system enabled a sample transfer without vacuum break and thereby a direct qualification and quantification of the chemical surface composition under quasi in situ conditions. The authors studied the influence of process parameters (e.g., pulse times, plasma power, and substrate temperature) on film compositions and film properties. The occurrence and prevention of sputtering effects due to ion bombardment at high plasma powers were discussed. Beyond those results, precise information about the impact of different plasma gas compositions on the resulting film properties was obtained. Cobalt films grown using a hydrogen/nitrogen (H2/N2) plasma as a coreactant showed a stable film composition (CoNx) with a high Co content of 75 at. %. Using scanning electron microscopy and four point probe measurements, a moderate electrical resistivity of about 56 μΩ cm was calculated for a 20 nm film. The high sensitivity of in vacuo XPS measurements allowed investigations of interface reactions for a single PE-ALD pulse as well as investigations of the initial film growth mechanisms. The nucleation of CoNx films during PE-ALD using H2/N2 plasma as a coreactant was investigated on several substrate materials by XPS. After the very first cycle of the PE-ALD process, no Co could be detected on all the investigated substrates. XPS revealed that the plasma pulse was needed to provide active binding sites for the adsorption reaction of precursor molecules due to the formation of Si-Nx or Si-NxOy surfaces. Therefore, the plasma pulse plays an important role in the PE-ALD process of Co on silicon surfaces. The early cycles were characterized by the onset of Co—O bonds. The homogeneous film body on all substrates consisted of Co-nitride compounds.
- Published
- 2020
- Full Text
- View/download PDF
28. Leakage Current and Breakthrough Measurements on Moisturized SiCOH
- Author
-
Hartmut Ruelke, Johann W. Bartha, C. Kubasch, and Ulrich Mayer
- Subjects
Materials science ,Orders of magnitude (specific energy) ,Moisture ,Electric field ,Relative humidity ,Dielectric ,Plasma ,Composite material ,Current density ,Electronic, Optical and Magnetic Materials ,Self-ionization of water - Abstract
At different moisture levels the leakage current and the disruptive strength of SiCOH, a nonporous low-κ dielectric, has been investigated. If water is in the dielectric film, the current density increased of about 6 orders of magnitude due to the ionization of water molecules in the applied electric field. Furthermore, at a constant electric field the delay time until the highest current value is reached depends on the water concentration and the silanol amount in the dielectric film. The delay time can vary between 1 minute in an untreated sample and 39 minutes in a thinner and plasma treated sample. In addition, the disruptive strength in the dry state and in the water saturated state at 80% relative humidity remains constant at about 10 MV/cm. © 2014 The Electrochemical Society. [DOI: 10.1149/2.0161501jss] All rights reserved.
- Published
- 2014
- Full Text
- View/download PDF
29. Investigation of Argon Plasma Damage on Ultra Low-κ Dielectrics
- Author
-
Ulrich Mayer, Hartmut Ruelke, C. Kubasch, Johann W. Bartha, and T. Olawumi
- Subjects
Materials science ,Argon ,chemistry ,Analytical chemistry ,chemistry.chemical_element ,Relative permittivity ,Relative humidity ,Dielectric ,Plasma ,Fourier transform infrared spectroscopy ,Saturation (chemistry) ,Porosity ,Electronic, Optical and Magnetic Materials - Abstract
A porous ultra low-κ dielectric (pULK) and a dense SiCOH dielectric were investigated before and after a plasma treatment with argon in terms of the change in the bonding types, the relative permittivity and the water uptake. Fourier transform infrared (FTIR) spectroscopy revealed a change in the bonding types of the dielectrics in general and a significant increase in the hydroxyl band especially. The high hydroxyl amount leads to an increase in the relative permittivity of these dielectrics by up to 6.25% for SiCOH and up to 12.5% for the pULK material. Furthermore, if water diffuses into the dielectric films from the environment, the moisture uptake is up to 2.7 times higher in saturation at 80% relative humidity in comparison to the untreated samples. Due to the plasma damaged upper layer of the materials, the diffusion process of water into the bulk dielectrics is significant reduced. Overall, it has been found that the pULK material is more vulnerable to the used plasma treatment in comparison to the dense SiCOH film. © 2014 The Electrochemical Society. [DOI: 10.1149/2.0041501jss] All rights reserved.
- Published
- 2014
- Full Text
- View/download PDF
30. Flash-Lamp-Enhanced Atomic Layer Deposition of Thin Films
- Author
-
Thomas Henke, Lars Rebohle, Martin Knaut, Matthias Albert, Johann W. Bartha, Wolfgang Skorupa, Christoph Hossbach, and Marion Geidel
- Subjects
Flash-lamp ,Atomic layer deposition ,Materials science ,thin film ,business.industry ,atomic layer deposition ,flash lamp annealing ,Optoelectronics ,Thin film ,business - Abstract
Atomic Layer Deposition (ALD) is a particular thin film deposition technology which is based on alternating saturated surface reactions. As a result, the film growth proceeds in a self-limiting manner enabling the deposition of thin films with excellent thickness control, uniformity, and conformity. Although a large number of materials have been deposited by ALD so far for various applications, there are still some challenges in ALD. The deposition temperatures in ALD are typically lower compared to CVD due to the limited thermal stability of ALD precursors. As a consequence of the lower energy available for film formation the films may not meet the properties needed for application. In these cases a post deposition annealing is required to improve the film properties, e.g. to obtain the desired film structure, density, or purity. However, this high temperature processing is often impracticable due to a restricted thermal budget of the substrate, in particular when coating temperature sensitive substrates. Secondly, the reactants of an ALD process, e.g. oxygen, may react with the substrate itself leading to the formation of a parasitic interfacial layer. In order to avoid this issue, the proper choice of reactants or the use of an alternative deposition technique is essential. Furthermore, many ALD processes suffer from substrate inhibited film growth accompanied by inefficient precursor consumption and the formation of films with unfavorable properties. Finally, there are materials of interest, e.g. titanium, which so far can not be deposited by thermal ALD at all. These limitations may be overcome by the application of flash lamp annealing (FLA) in ALD. In FLA the substrate is exposed to a light flash with durations typically in the millisecond range. The light energy is absorbed within the top layers of the sample causing a rapid heating of the surface near region. On the contrary, the bulk material experiences no or only moderate heating. Consequently, FLA is a suitable technology to power high temperature processes even on temperature sensitive substrates. The film growth in flash lamp enhanced ALD is induced by this effect. Thereby, each process cycle consist of both a precursor pulse and the irradiation of the substrate with a light flash. During each single flash the surface temperature exceeds the threshold temperature which is required to achieve the thermal decomposition of adsorbed precursor molecules or to activate chemical reactions between the adsorbed precursor molecules and a second reactant. The film growth proceeds step-by-step and thus the film thickness can be controlled by varying the number of cycles. In addition, FLA in each cycle results in the periodical annealing of the already grown film and hence may lead to an improved film quality. Consequently, flash lamp enhanced ALD has a high potential for the realization of single-source processes, for the reduction of growth delay in the initial phase of film growth, for the deposition of high purity thin films, and for the deposition of new materials. In this work the principle of flash lamp enhanced ALD will be presented in detail, the technology will be reviewed and classified. Thereafter, we will give an overview about our studies on the flash enhanced ALD of aluminum-, ruthenium-, and tantalum-based thin films. These depositions were realized by flashing periodically on a substrate during the precursor pulses. We will show that the film growth is induced by the flash heating and the processes exhibits typical ALD characteristics. The obtained relations between flash parameters and film growth parameters will be discussed with the use of simulation results illustrating the temperature profile during the FLA treatment. Moreover, this work addresses the potentials of this technology as well as the technical challenges.
- Published
- 2014
- Full Text
- View/download PDF
31. Demonstration of a graphene-base heterojunction transistor with saturated output current
- Author
-
Felix Winkler, S. Völkel, Johann W. Bartha, Karola Richter, B. Leszczynska, Johanna Reif, C. Strobel, Matthias Albert, Sebastian Killge, André Hiess, Ch. Wenger, Martin Knaut, S. Leszczynski, and Carlos Alvarado Chavarin
- Subjects
010302 applied physics ,Materials science ,Silicon ,business.industry ,Graphene ,Transistor ,Bipolar junction transistor ,General Physics and Astronomy ,chemistry.chemical_element ,Heterojunction ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,chemistry ,Modulation ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,0210 nano-technology ,business ,Common emitter ,Voltage - Abstract
A novel transistor with a graphene base embedded between two n-type silicon emitter and collector layers (graphene-base heterojunction transistor) is fabricated and characterized electrically. The base voltage controlled current of the device flows vertically from the emitter via graphene to the collector. Due to the extremely short transit time for electrons passing the ultimately thin graphene base, the device has a large potential for high-frequency RF applications. The transistor exhibits saturated output currents and a clear modulation of the collector current by means of the graphene base voltage. The vertical transfer current from the emitter via the graphene base to the collector is much lower than expected from device simulations. A comparison of the graphene-base transistor and a reference silicon n-p-n bipolar transistor is performed with respect to the main DC transistor characteristics. A common-emitter gain of larger than one has been achieved for the reference device while the graphene-base transistor so far exhibits a much lower gain.
- Published
- 2019
- Full Text
- View/download PDF
32. Characterization of Ru–Mn composites for ULSI interconnects
- Author
-
U. Merkel, Denys Makarov, M. Bendlin, Karola Richter, H. Wojcik, Johann W. Bartha, Marion Geidel, Volker Neumann, B. Adolphi, Cornelia Krien, Martin Knaut, and C. Wenzel
- Subjects
Materials science ,Diffusion barrier ,Annealing (metallurgy) ,Copper interconnect ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,X-ray photoelectron spectroscopy ,Grain boundary ,Wafer ,Wetting ,Electrical and Electronic Engineering ,Composite material - Abstract
In this study, PVD Ru-Mn composites were investigated in terms of major concerns that arise with the introduction of a new type of barrier. First, the Cu diffusion barrier performance after annealing at 600^oC and under subsequent BTS of +2MV/cm, 250^oC was investigated on SiO"2, and after 350^oC annealing on low-k dielectrics. Ru-Mn films proved to serve as outstanding Cu diffusion barrier over a wide range of Mn content. Second, the origin of the barrier performance was investigated using TEM, EELS and XPS depth profiling techniques, revealing the stuffing of grain boundaries as barrier mechanism. Third, Cu plating on damascene wafers applying a Ru-Mn seed layer was tested, and also the Cu adhesion thereon, resulting in a complete feature fill and excellent Cu wetting behavior. Fourth, the blocking of oxygen diffusion was examined, leading to a performance comparable to PVD TaN. Finally, the Mn content inside Ru was reduced down to 1at.% without compromising the superior material properties.
- Published
- 2013
- Full Text
- View/download PDF
33. In-situ analysis on the initial growth of ultra-thin ruthenium films with atomic layer deposition
- Author
-
Johann W. Bartha, Matthias Albert, Marcel Junige, and Marion Geidel
- Subjects
Materials science ,Ultra-high vacuum ,technology, industry, and agriculture ,Analytical chemistry ,chemistry.chemical_element ,Substrate (electronics) ,Island growth ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Ruthenium ,Atomic layer deposition ,chemistry.chemical_compound ,Adsorption ,X-ray photoelectron spectroscopy ,Tantalum nitride ,chemistry ,Electrical and Electronic Engineering - Abstract
The initial growth behavior of ruthenium during the thermal-activated atomic layer deposition (ALD) using [(ethylcyclopentadienyl)(pyrrolyl)ruthenium(II)] (ECPR) and molecular oxygen was investigated in a cluster tool combining an ALD reactor with a surface analysis unit under high vacuum conditions. A direct qualification and quantification of the chemical surface composition by X-ray photoelectron spectroscopy (XPS) and a determination of the surface topography by atomic force microscopy (AFM) were conducted in the course of the ALD cycles without vacuum break. XPS revealed a substrate-inhibited Ru growth on a hydrogen-terminated silicon surface, which was preceded by an incubation period of 20ALD cycles. The Si surface oxidized during the first 50cycles. AFM measurements showed a roughness maximum around the 40th ALD cycle, which suggested an island growth mode and thus corresponded with the substrate inhibition. As verified from the AFM data with an analytical model by Nilsen et al. the Ru islands coalesced between the 40th and 50th ALD cycle. The ALD growth initiation of Ru was also investigated on aluminum oxide and tantalum nitride. XPS revealed a similarly inhibited growth behavior on all the investigated substrates. However, the ECPR adsorption during the very first Ru precursor pulse differed as the amount of chemisorbed Ru on the NH"y-terminated TaN"x(O, C) surface was much higher compared to the OH-terminated Al"2O"3 and the H-terminated Si surface. Summarizing, we demonstrated that in-vacuo XPS and AFM as well as the combination of both are ideally suited for studying the ALD growth initiation of Ru. Furthermore, we provided important chemical information about the initial Ru precursor adsorption on several foreign substrate materials, which will direct further investigations towards a non-inhibited Ru growth.
- Published
- 2013
- Full Text
- View/download PDF
34. Atomic layer deposition for high aspect ratio through silicon vias
- Author
-
Matthias Albert, Volker Neumann, André Hiess, Thomas Henke, Martin Knaut, Johann W. Bartha, Marcel Junige, H. Wojcik, and Christoph Hossbach
- Subjects
Materials science ,Diffusion barrier ,Silicon ,Annealing (metallurgy) ,Metallurgy ,chemistry.chemical_element ,Dielectric ,Condensed Matter Physics ,Copper ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Atomic layer deposition ,chemistry.chemical_compound ,chemistry ,Tantalum nitride ,Copper plating ,Electrical and Electronic Engineering ,Composite material - Abstract
The paper presents atomic layer deposition (ALD) processes and process integration for the deposition of insulating liners, copper diffusion barriers, and seed layers for direct copper plating in high aspect ratio (>20:1) through silicon vias. A TaN-based copper diffusion barrier was deployed on an aluminum oxide insulating liner. The latter has the potential to act also as a dielectric barrier against copper diffusion according to BTS and TVS measurements. Furthermore, ruthenium ALD films applied as seed layers for direct copper plating were deposited with an intermediate annealing step to improve film adhesion and ensure the deposition of thick films without any delamination. The step coverage of the presented ALD processes was confirmed by SEM measurements on cross-sections of coated TSV structures. Finally a subsequent electrochemical deposition (ECD) of copper was conducted revealing the satisfying functionality of the Ru seed layer.
- Published
- 2013
- Full Text
- View/download PDF
35. Influence of growth temperature on physical properties of ZnO films produced by pulsed laser deposition method
- Author
-
A. A. Lotin, F. V. Lebedev, Oleg Alexeyevic Novodvorsky, Dmitry Zuev, Johann W. Bartha, L. S. Parshina, Christian Wenzel, and Olga D. Khramova
- Subjects
Diffraction ,Materials science ,Organic Chemistry ,Analytical chemistry ,chemistry.chemical_element ,Zinc ,Epitaxy ,Laser ,Atomic and Molecular Physics, and Optics ,Electronic, Optical and Magnetic Materials ,law.invention ,Pulsed laser deposition ,Inorganic Chemistry ,chemistry ,law ,Monolayer ,Surface roughness ,Sapphire ,Electrical and Electronic Engineering ,Physical and Theoretical Chemistry ,Spectroscopy - Abstract
The dependence has been investigated of electrical, optical and structural properties of undoped ZnO films grown on single-crystal c -sapphire substrates by pulsed laser deposition on the substrate temperature in the range between 50 °С and 650 °С. It has been shown that an increase in energy density of laser radiation at the target surface leads to lowering of the temperature of epitaxial growth of zinc oxide films. The surface roughness of the films produced at the substrate temperature of 450 °C does not exceed several monolayers, and the width of X-ray diffraction peaks 2 Θ at half maximum near the lattice site (00.2) makes 0.035°.
- Published
- 2013
- Full Text
- View/download PDF
36. Water uptake of a low-κ dielectric film: Combining capacitance and gravimetric measurements
- Author
-
Johann W. Bartha and C. Kubasch
- Subjects
Permittivity ,Moisture ,Chemistry ,Analytical chemistry ,Quartz crystal microbalance ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Gravimetric analysis ,Relative humidity ,Electrical and Electronic Engineering ,Saturation (chemistry) ,Water vapor - Abstract
Moisture uptake of a benzocyclobutene (BCB) film has been investigated by using the capacitance-time (C-t) measurement method. The change in the permittivity at 80% relative humidity (RH) at room temperature in saturation is about 1.54%. To derive the absolute amount of water molecules from the change in capacitance an appropriate electrical model is required. For the direct measurement of the amount of water molecules in the BCB film gravimetric measurements have been performed at the same environmental conditions by using a quartz crystal microbalance (QCM). In saturation the change in mass induced by the moisture uptake is only about 0.14%. However, the application of the Debye equation using the dipole moment of water vapor yielded an excellent agreement between electrical and physical measurements.
- Published
- 2013
- Full Text
- View/download PDF
37. Modeling and characterization of optical TSVs
- Author
-
Ronny Henker, Frank Ellinger, Sebastian Killge, Sujay Charania, Dirk Plettemeier, Zaid Al-Husseini, Niels Neumann, and Johann W. Bartha
- Subjects
Materials science ,Through-silicon via ,business.industry ,ddc:621.3 ,Capacitive sensing ,Electrical engineering ,Stack (abstract data type) ,Transmission (telecommunications) ,Broadband ,Electronic engineering ,Hardware_INTEGRATEDCIRCUITS ,Wireless ,Terabit ,ddc:620 ,integrierte Optoelektronik, Multichip-Module, Through-Silizium-Vias ,business ,Massively parallel ,integrated optoelectronics, multichip modules, through-silicon vias - Abstract
In future, computing platforms will invoke massive parallelism by using a huge number of processing elements. These elements need broadband interconnects to communicate with each other. Following More-than-Moore concepts, soon large numbers of processors will be arranged in 3D chip-stacks. This trend to stack multiple dies produces a demand for high-speed intraconnects (within the 3D stack) which enable an efficient operation. Besides wireless electronic solutions (inductive or capacitive as well as using antennas), optical connectivity is an option for bit rates up to the Tbit/s range, too. We investigated different candidates for optical TSVs. For optical transmission via optical Through-Silicon-Vias, we were able to demonstrate negligible losses and dispersion.
- Published
- 2017
38. Program FFlexCom - High frequency flexible bendable electronics for wireless communication systems
- Author
-
Karl Leo, Renato Negra, Susanne Scheinert, Frank Ellinger, Frank Grotjahn, Grzegorz Lupina, Niko Munzenrieder, C. Strobel, Daniel Neumaier, Stefan Knobelspies, Zhenxing Wang, Johann W. Bartha, Yiannos Manoli, Carlos Alvarado Chavarin, Ullrich R. Pfeiffer, Daniel Schrufer, Koichi Ishida, Robert Weigel, Shabnam Mohammadi Naghadeh, Lothar Frey, Katherina Haase, Hagen Klauk, Andreas Thiede, Golzar Alavi, Mahsa Rasteh, Zhipeng Zhang, Chun-Yu Fan, Martin Vossiek, Gerhard Tröster, Christian Tückmantel, Marco Gunia, Michael P. M. Jank, Christian Wenger, Markus Becherer, Thomas Riedl, Paolo Lugli, Martin Ellinger, Stefan C. B. Mannsfeld, Martin Fritsch, Holger von Wenckstern, Manfred Berroth, Giovanni A. Salvatore, Philipp Hillger, Jan Hesselbarth, Alessio Gagliardi, Sefa Ozbek, Matthias Kuhl, Ullrich Scherf, Joachim N. Burghartz, Manuel Theisen, Tilo Meister, Martin Claus, Mohammed Darwish, and Marius Grundmann
- Subjects
Flexibility (engineering) ,Engineering ,Public records ,business.industry ,Wireless communication systems ,Component (UML) ,Electronic engineering ,Electrical engineering ,Wireless ,Electronics ,business ,Settore ING-INF/01 - Elettronica - Abstract
Today, electronics are implemented on rigid substrates. However, many objects in daily-life are not rigid — they are bendable, stretchable and even foldable. Examples are paper, tapes, our body, our skin and textiles. Until today there is a big gap between electronics and bendable daily-life items. Concerning this matter, the DFG Priority Program FFlexCom aims at paving the way for a novel research area: Wireless communication systems fully integrated on an ultra-thin, bendable and flexible piece of plastic or paper. The Program encompasses 13 projects led by 25 professors. By flexibility we refer to mechanical flexibility, which can come in flavors of bendability, foldability and, stretchability. In the last years the speed of flexible devices has massively been improved. However, to enable functional flexible systems and operation frequencies up to the sub-GHz range, the speed of flexible devices must still be increased by several orders of magnitude requiring novel system and circuit architectures, component concepts, technologies and materials.
- Published
- 2017
39. Focusing hard x rays beyond the critical angle of total reflection by adiabatically focusing lenses
- Author
-
Frank Seiboth, A. Jahn, Robert Hoppe, Christian Wenzel, Ulrike Boesenberg, Stephan Ritter, Christian G. Schroer, Gerald Falkenberg, Maria Scholz, Karola Richter, Jens Patommel, D. Samberg, Susanne Klare, Johann W. Bartha, and Felix Wittwer
- Subjects
0301 basic medicine ,Physics ,030103 biophysics ,Total internal reflection ,Physics and Astronomy (miscellaneous) ,Silicon ,business.industry ,X-ray optics ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Optical focusing ,Numerical aperture ,03 medical and health sciences ,Optics ,chemistry ,Hard X-rays ,ddc:530 ,0210 nano-technology ,business ,Focus (optics) ,Realization (systems) - Abstract
Applied physics letters 110(10), 101103 (2017). doi:10.1063/1.4977882, In response to the conjecture that the numerical aperture of x-ray optics is fundamentally limited by the critical angle of total reflection [Bergemann et al., Phys. Rev. Lett. 91, 204801 (2003)], the concept of adiabatically focusing refractive lenses was proposed to overcome this limit [Schroer and Lengeler, Phys. Rev. Lett. 94, 054802 (2005)]. We present an experimental realization of these optics made of silicon and demonstrate that they indeed focus 20 keV x rays to a 18.4 nm focus with a numerical aperture of 1.73(9) × 10$^{−3}$ that clearly exceeds the critical angle of total reflection of 1.55 mrad., Published by American Inst. of Physics, Melville, NY
- Published
- 2017
- Full Text
- View/download PDF
40. Minority Currents in n-Doped Organic Transistors
- Author
-
Akram Al-Shadeedi, Scott D. Bunge, Daniel Kasemann, Christoph Hoßbach, Johann W. Bartha, Chang-Min Keum, Björn Lüssem, and Shiyi Liu
- Subjects
Materials science ,business.industry ,Bipolar junction transistor ,Low level injection ,02 engineering and technology ,Carrier lifetime ,Deep-level trap ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,010402 general chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,0104 chemical sciences ,Pentacene ,Organic semiconductor ,Condensed Matter::Materials Science ,chemistry.chemical_compound ,chemistry ,Optoelectronics ,General Materials Science ,Charge carrier ,Field-effect transistor ,0210 nano-technology ,business - Abstract
Doping allows us to control the majority and minority charge carrier concentration in organic field-effect transistors. However, the precise mechanism of minority charge carrier generation and transport in organic semiconductors is largely unknown. Here, the injection of minority charge carriers into n-doped organic field-effect transistors is studied. It is shown that holes can be efficiently injected into the transistor channel via Zener tunneling inside the intrinsic pentacene layer underneath the drain electrode. Moreover, it is shown that the onset of minority (hole) conduction is shifted by lightly n-doping the channel region of the transistor. This behavior can be explained by a large voltage that has to be applied to the gate in order to fully deplete the n-doped layer as well as an increase in hole trapping by inactive dopants.
- Published
- 2016
41. Atomic Layer Deposition for Coating of High Aspect Ratio TiO
- Author
-
Raul, Zazpe, Martin, Knaut, Hanna, Sopha, Ludek, Hromadko, Matthias, Albert, Jan, Prikryl, V, Gärtnerová, Johann W, Bartha, and Jan M, Macak
- Subjects
Interfaces: Adsorption, Reactions, Films, Forces, Measurement Techniques, Charge Transfer, Electrochemistry, Electrocatalysis, Energy Production and Storage - Abstract
We present an optimized approach for the deposition of Al2O3 (as a model secondary material) coating into high aspect ratio (≈180) anodic TiO2 nanotube layers using the atomic layer deposition (ALD) process. In order to study the influence of the diffusion of the Al2O3 precursors on the resulting coating thickness, ALD processes with different exposure times (i.e., 0.5, 2, 5, and 10 s) of the trimethylaluminum (TMA) precursor were performed. Uniform coating of the nanotube interiors was achieved with longer exposure times (5 and 10 s), as verified by detailed scanning electron microscopy analysis. Quartz crystal microbalance measurements were used to monitor the deposition process and its particular features due to the tube diameter gradient. Finally, theoretical calculations were performed to calculate the minimum precursor exposure time to attain uniform coating. Theoretical values on the diffusion regime matched with the experimental results and helped to obtain valuable information for further optimization of ALD coating processes. The presented approach provides a straightforward solution toward the development of many novel devices, based on a high surface area interface between TiO2 nanotubes and a secondary material (such as Al2O3).
- Published
- 2016
42. Towards the realization of optical interconnets on Si interposer
- Author
-
Johann W. Bartha, Karola Richter, Sujay Charania, and Sebastian Killge
- Subjects
Interconnection ,Materials science ,Fabrication ,Silicon photonics ,business.industry ,Three-dimensional integrated circuit ,02 engineering and technology ,Chip ,Waveguide (optics) ,020210 optoelectronics & photonics ,0202 electrical engineering, electronic engineering, information engineering ,Interposer ,Electronic engineering ,Optoelectronics ,business ,Lithography - Abstract
The continuously increasing demand for higher bandwidth makes the application of an optical chip to chip interconnect system conceivable. Based on the assumption of a 3D chip package two essential ingredients of such a system are the availability of optical TSVs and a cost efficient process to fabricate waveguides with an efficient coupling to and from the optical TSV. In this paper, we present results on different kinds of optical TSVs. Our waveguide TSV is based on a SU-8 optical core. We developed a fabrication process for horizontal optical waveguides made as well by SU-8 using special imprint lithography.
- Published
- 2016
- Full Text
- View/download PDF
43. Analysis of the energy input during wire coating from a cylindrical magnetron source
- Author
-
U. Vogel, Johann W. Bartha, C. Nobis, and C. Klaus
- Subjects
Materials science ,Metals and Alloys ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,Chemical vapor deposition ,engineering.material ,Sputter deposition ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Atomic layer deposition ,Coating ,chemistry ,Sputtering ,Aluminium ,Materials Chemistry ,engineering ,Thin film ,Composite material ,Layer (electronics) - Abstract
In order to deposit thin films on a substrate several techniques can be used, e.g. chemical vapour deposition, atomic layer deposition or sputter deposition, depending on their specific advantages and disadvantages due to the related application. A significant parameter is the energy incident upon the substrate by the specific technique, especially when the heat capacitance of the substrate is low. Within this paper we analyse the energy transported into a thin wire (few 10 μm in diameter) during a dynamic inline aluminium sputter process in a cylindrical magnetron source. The evoked heating is important for the tensile strength of the wire and uniformity of the sputtered layer. Therefore, mathematical models were created to estimate the energy input into the wire supported by monte-carlo-simulations of the sputtering process using the TRIM-simulation (Transport and Range of Ions in Matter). Measurements with a Langmuir probe and the corresponding deposition rate were used to quantify these models, showing that at an aluminium coating process of a gold wire, the significant energy input is only due to electrons and ions of the processing gas (argon). Using the heat equation based on the sputtering apparatus' parameters, it was also possible to determine the energy input into the wire with in situ electrical resistance measurements. Both methods did show similar results, whereby the resistance results were more stable. The determined energy input made it possible to calculate the temperature profile during the wire-coating process which can be useful for estimations about film diffusion and process optimisation.
- Published
- 2012
- Full Text
- View/download PDF
44. Deposition of intrinsic hydrogenated amorphous silicon for thin-film solar cells - a comparative study for layers grown statically by RF-PECVD and dynamically by VHF-PECVD
- Author
-
Johann W. Bartha, Uwe Rau, K. Dybek, AJ Arjan Flikweert, T. Zimmermann, J. Woerdenweber, Tsvetelina Merdzhanova, F. Stahr, and Aad Gordijn
- Subjects
Amorphous silicon ,Materials science ,Silicon ,Renewable Energy, Sustainability and the Environment ,business.industry ,chemistry.chemical_element ,Plasma ,Chemical vapor deposition ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Solar cell efficiency ,chemistry ,Plasma-enhanced chemical vapor deposition ,Optoelectronics ,Electrical and Electronic Engineering ,Fourier transform infrared spectroscopy ,business ,Deposition (chemistry) - Abstract
Hydrogenated amorphous silicon (a-Si:H) is conventionally deposited using static plasma-enhanced chemical vapor deposition (PECVD) processes. In this work, a very high frequency (VHF) dynamic deposition technique is presented, on the basis of linear plasma sources. This configuration deploys a simple reactor design and enables continuous deposition processes, leading to a high throughput. Hence, this technique may facilitate the use of flexible substrates. As a result, the production costs of thin-film silicon solar cells could be reduced significantly. We found a suitable regime for the homogeneous deposition of a-Si:H layers for growth rates from 0.35–1.1 nm/s. The single layer properties as well as the performance of corresponding a-Si:H solar cells are investigated and compared with a state-of-the-art radio frequency (RF) PECVD regime. By analyzing the Fourier transform infrared spectroscopy spectra of single layers, we found an increasing hydrogen concentration with deposition rate for both techniques, which is in agreement with earlier findings. At a given growth rate, the hydrogen concentration was at the same level for intrinsic layers deposited by RF-PECVD and VHF-PECVD. The initial efficiency of the corresponding p–i–n solar cells ranged from 9.6% at a deposition rate of 0.2 nm/s (RF regime) to 8.9% at 1.1 nm/s (VHF regime). After degradation, the solar cell efficiency stabilized between 7.8% and 5.9%, respectively. The solar cells incorporating intrinsic layers grown dynamically using the linear plasma sources and very high frequencies showed a higher stabilized efficiency and lower degradation loss than solar cells with intrinsic layers grown statically by RF-PECVD at the same deposition rate. Copyright © 2012 John Wiley & Sons, Ltd.
- Published
- 2012
- Full Text
- View/download PDF
45. Electrical Evaluation of Ru–W(-N), Ru–Ta(-N) and Ru–Mn films as Cu diffusion barriers
- Author
-
Christian Wenzel, Volker Neumann, Frans Munnik, Marion Geidel, S. Strehle, Romy Liske, B. Adolphi, J. Gluch, H. Wojcik, U. Merkel, A. Preusse, Johann W. Bartha, Cornelia Krien, R. Kaltofen, and Martin Knaut
- Subjects
Materials science ,Annealing (metallurgy) ,Analytical chemistry ,Dielectric ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Electrical resistivity and conductivity ,law ,Electric field ,Electrical and Electronic Engineering ,Crystallization ,Forming gas ,Stoichiometry ,Leakage (electronics) - Abstract
Co-sputtered Ru-Ta(N), Ru-W(N) and Ru-Mn composites are investigated in terms of their barrier properties against Cu diffusion. A wide range of stoichiometries is analyzed with regard to crystallization, barrier properties, resistivity, Cu adhesion and direct Cu plating behaviour. All films were annealed at 350^oC and 600^oC in forming gas for 1h and subsequently stressed at elevated temperatures and electrical fields (BTS, 250^oC, 2MV/cm, 30min). The leakage current was monitored during BTS to observe increased leakage due to Cu diffusion. The Cu ions that eventually have passed the barrier and drifted into the dielectric of the MIS test structure were detected and quantified using the triangular voltage sweep method. The addition of 10% W or Ta into a Ru film already leads to a highly improved barrier performance against Cu diffusion, comparable to TaN, as long as the temperatures involved are kept below 350^oC. Outstanding barriers were identified after 600^oC annealing and subsequent BTS, among them Ru"5"0W"5"0", Ru"5"0Ta"5"0 and Ru"9"5Mn"5. However, only Ru"9"0Ta"1"0 and Ru"9"5Mn"5 offer an excellent Cu adhesion and the possibility of direct Cu plating.
- Published
- 2012
- Full Text
- View/download PDF
46. A feature scale Greenwood–Williamson model predicting pattern-size effects in CMP
- Author
-
Sascha Bott, Peter Kücher, Johann W. Bartha, Boris Vasilev, and Roland Rzehak
- Subjects
Scale (ratio) ,Semiconductor device fabrication ,Computer science ,Polishing ,Surface finish ,Condensed Matter Physics ,Chip ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Computer Science::Hardware Architecture ,Feature (computer vision) ,Chemical-mechanical planarization ,Forensic engineering ,Electrical and Electronic Engineering ,Algorithm ,Scale model - Abstract
Chemical mechanical planarization (CMP) models which are able to make predictions on the chip and feature scale are highly desirable in semiconductor manufacturing. Most of the models proposed in the past years have largely focused on the pattern density and neglected effects of pattern size in planarization. We here propose a new CMP feature scale model that incorporates size effects by considering the roughness of the polishing pad. It is validated by experimental data from CMP test structures containing variations of both pattern-density and -size. The results are applied to ILD- and STI-CMP as particularly important processes in industry. The derived model describes the feature step height and shape evolution with high accuracy throughout the planarization process.
- Published
- 2012
- Full Text
- View/download PDF
47. High-Rate Deposition of Intrinsic a-Si:H and μc-Si:H Layers for Thin‑Film Silicon Solar Cells using a Dynamic Deposition Process
- Author
-
T. Zimmermann, K. Dybek, Aad Gordijn, AJ Arjan Flikweert, F. Stahr, Johann W. Bartha, J. Woerdenweber, and Tsvetelina Merdzhanova
- Subjects
Amorphous silicon ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Combustion chemical vapor deposition ,Pulsed laser deposition ,Atomic layer deposition ,chemistry.chemical_compound ,chemistry ,Plasma-enhanced chemical vapor deposition ,Optoelectronics ,Thin film ,business ,Plasma processing - Abstract
Thin‑film silicon solar cells based on hydrogenated amorphous silicon (a‑Si:H) and hydrogenated microcrystalline silicon (μc‑Si:H) absorber layers are typically deposited using static plasma-enhanced chemical vapor deposition (PECVD) processes. It has been found that the use of very‑high frequencies (VHF) is beneficial for the material quality at high deposition rates when compared to radio-frequency (RF) processes. In the present work a dynamic VHF‑PECVD technique using linear plasma sources is developed. The linear plasma sources facilitate the use of very-high excitation frequencies on large electrode areas without compromising on the homogeneity of the deposition process. It is shown that state-of-the-art a‑Si:H and μc‑Si:H single-junction solar cells can be deposited incorporating intrinsic layers grown dynamically by VHF-PECVD at 0.35 nm/s and 0.95 nm/s, respectively.
- Published
- 2012
- Full Text
- View/download PDF
48. Fourier Transform Infrared Spectroscopy of Moisturized Low-$\kappa$ Dielectric Materials
- Author
-
Hartmut Ruelke, Johann W. Bartha, H. Schumacher, Ulrich Mayer, and C. Kubasch
- Subjects
Silanol ,chemistry.chemical_compound ,Outgassing ,Chemistry ,Plasma-enhanced chemical vapor deposition ,Molecular vibration ,Analytical chemistry ,Infrared spectroscopy ,Dielectric ,Electrical and Electronic Engineering ,Fourier transform infrared spectroscopy ,Porosity ,Electronic, Optical and Magnetic Materials - Abstract
A dense plasma enhanced chemical vapor deposition (PECVD) SiCOH film and a porous ultralow-κ (pULK) film have been investigated by means of the Fourier transform infrared spectroscopy. Structural differences between both materials have been found in particular in the amount of silanol groups and in the location and shape of the Si-O-Si stretching vibration band. Furthermore, moisturized samples of these materials have been investigated in situ during outgassing in rough vacuum. It has been observed that the pULK film contains only about 25% of the water amount found in the dense SiCOH film in saturation at 80% relative humidity and room temperature. A comparison of the water-related species in the investigated dielectric films with a liquid water spectrum identified hydrogen-bonded water-silanol groups in both materials and hydrogen-bonded water-water groups in the pULK film. During the outgassing process, no indication of shrinking or other structural changes were found, except for a shift in the silanol-associated vibration band to slightly higher wavenumbers. The achieved results are in good agreement to previous electrical characterization of both dielectric films.
- Published
- 2011
- Full Text
- View/download PDF
49. Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements
- Author
-
Johann W. Bartha, U. Merkel, Marcel Junige, Christoph Klein, A. Jahn, Frans Munnik, J. Gluch, C. Wenzel, H. Wojcik, Matthias Albert, and Karola Richter
- Subjects
Diffusion barrier ,Annealing (metallurgy) ,Analytical chemistry ,Time-dependent gate oxide breakdown ,Condensed Matter Physics ,Thermal diffusivity ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,Tantalum nitride ,chemistry ,Impurity ,Plasma-enhanced chemical vapor deposition ,Physical vapor deposition ,Electrical and Electronic Engineering - Abstract
The diffusion barrier properties of PVD Ru and PECVD / PEALD Ru-C films, deposited by RuEtcp"2 precursor and N"2/H"2 plasma, were compared on the basis of bias temperature stress measurements. An MIS test structure was used to distinguish between thermal diffusion induced by annealing and a Cu field drift due to applied electric fields. BTS-CV, TZDB and TDDB measurements revealed that the barrier performance is significantly better for PEALD and PECVD Ru-C films. This improvement is associated with carbon impurities in the Ru films with a concentration in the order of several percent according to ToF-SIMS and ERDA. The TDDB mean time to failure at 250^oC, +5MV/cm was 7s for PVD Ru samples, ~500s for PECVD Ru-C, ~800s for PEALD Ru-C and>3600s for PVD TaN. Triangular voltage sweep measurements at 300^oC, 0.1V/s confirmed the presence of Cu ions inside the SiO"2 for degraded dots, in contrast to the Al reference sample and to PVD TaN, which performed best among all the Cu barriers under test. XRD data suggests that PEALD and PECVD Ru-C films are only weakly crystalline.
- Published
- 2011
- Full Text
- View/download PDF
50. Photoluminescence properties of thin nitrogen- and phosphorus-doped ZnO films fabricated using pulsed laser deposition
- Author
-
Johann W. Bartha, N. Trumpaicka, Olga D. Khramova, Ye. A. Cherebilo, V. Ya. Panchenko, Christian Wenzel, A. A. Lotin, Oleg Alexeyevic Novodvorsky, and L. S. Parshina
- Subjects
Photoluminescence ,Materials science ,business.industry ,Band gap ,Annealing (metallurgy) ,Doping ,Atmospheric temperature range ,Condensed Matter Physics ,Laser ,Industrial and Manufacturing Engineering ,Atomic and Molecular Physics, and Optics ,Pulsed laser deposition ,law.invention ,law ,visual_art ,visual_art.visual_art_medium ,Optoelectronics ,Ceramic ,business ,Instrumentation - Abstract
The production of n- and p-type high-quality film structures is a foreground task in tackling the problem of growing the light-emitting p-n junctions based on zinc oxide. The ZnO:N and ZnO:P thin-film samples are produced from ceramic targets using the pulsed laser deposition. Zn3N2, MgO, and Zn3P2 are introduced in the ZnO ceramic targets for the fabrication of the p-type ZnO films. Gases O2 and N2O are used as buffer gases. The thermal annealing of the ZnO films is employed. The resistance and photoluminescence (PL) spectra of the ZnO films are measured prior to and after annealing. The dependence of the ZnO PL peak amplitude and position prior to and after annealing on the level of doping with nitrogen and phosphorus is established. The PL characteristics of the films are studied at cw optical excitation using a He-Cd laser with a radiation wavelength of 325 nm. The PL spectra in the interval 300–700 nm are recorded by an HR4000 Ocean Optics spectrometer in the temperature range 10–400 K. The effect of the conditions for the film deposition on the PL spectra is analyzed. The effect of the N- and P-doping level of the ZnO films on the PL intensity of the films and the position of the PL bands in the UV region is investigated. The short-wavelength (250–400 nm) transmission spectra of the ZnO:P films are measured. The effect of the P-doping level on the band gap of the ZnO films is studied.
- Published
- 2011
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.