51 results on '"V. Mazzocchi"'
Search Results
2. Opportunities and challenges brought by 3D-sequential integration
- Author
-
Benoit Sklenard, Bastien Giraud, Sebastien Thuries, Mikael Casse, Joris Lacord, Cm. Ribotta, V. Lapras, P. Acosta-Alba, O. Billoint, M. Mouhdach, N. Rambal, Pascal Besson, Francois Andrieu, Perrine Batude, Didier Lattard, Laurent Brunet, Gilles Sicard, Xavier Garros, Christoforos G. Theodorou, L. Brevard, Maud Vinet, V. Mazzocchi, P. Sideris, M. Ribotta, Claire Fenouillet-Beranger, F. Ponthenier, Pascal Vivet, Sebastien Kerdiles, G. Cibrario, J.M. Hartmann, Frank Fournel, Bernard Previtali, Frédéric Mazen, Claude Tabone, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP ), Université Grenoble Alpes (UGA), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
Presentation ,Reliability (semiconductor) ,Materials science ,CMOS ,Process (engineering) ,media_common.quotation_subject ,Key (cryptography) ,Systems engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Active devices ,Sketch ,ComputingMilieux_MISCELLANEOUS ,media_common - Abstract
The aim of this paper is to present the 3D-sequential integration and its main prospective application sectors. The presentation will also give a synoptic view of all the key enabling process steps required to build high performance Si CMOS integrated by 3D-sequential with thermal budget preserving the integrity of active devices and interconnects and will sketch a status and prospect on current low temperature device performance.
- Published
- 2021
3. A review of the full 500°C low temperature technological modules development for high performance and reliable 3D Sequential Integration
- Author
-
F. Aussenac, P. Acosta-Alba, V. Beugin, V. Mazzocchi, Xavier Garros, Mikael Casse, Sebastien Kerdiles, C. Vizioz, C. Guerin, N. Rambal, F. Ponthenier, J. Micout, Perrine Batude, Maud Vinet, Bernard Previtali, Francois Andrieu, Claire Fenouillet-Beranger, S. Chevalliez, J-M. Pedini, and Laurent Brunet
- Subjects
Materials science ,Silicon ,chemistry ,Annealing (metallurgy) ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Gate stack ,Silicon on insulator ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,Epitaxy ,Engineering physics - Abstract
This paper highlights the last technological breakthroughs achieved in the development of low temperature process modules at 500°C for 3D sequential integration. The two remaining process steps (low temperature gate stack and selective silicon raised source drain epitaxy) that were considered as potential showstoppers for this technology have shown decisive progress very recently.
- Published
- 2019
4. Towards scalable silicon quantum computing
- Author
-
S. De Franceschi, B. Jadot, Y.-J. Kim, A. Amisse, H. Bohuslavskyi, Benoit Bertrand, Tristan Meunier, Sylvain Barraud, V. Mazzocchi, C. Spence, Marc Sanquer, E. Chanrion, L. Bourdet, Louis Hutin, Maud Vinet, A. Crippa, Jean-Michel Hartmann, Romain Maurand, Yann-Michel Niquet, Benjamin Venitucci, Matias Urdampilleta, Pierre-André Mortemousque, and Xavier Jehl
- Subjects
Materials science ,Condensed Matter - Mesoscale and Nanoscale Physics ,Silicon ,business.industry ,FOS: Physical sciences ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Gate voltage ,01 natural sciences ,010305 fluids & plasmas ,Computer Science::Emerging Technologies ,chemistry ,Qubit ,Mesoscale and Nanoscale Physics (cond-mat.mes-hall) ,0103 physical sciences ,Scalability ,Optoelectronics ,Hardware_ARITHMETICANDLOGICSTRUCTURES ,Thin film ,0210 nano-technology ,business ,Spin-½ ,Quantum computer - Abstract
We report the efforts and challenges dedicated towards building a scalable quantum computer based on Si spin qubits. We review the advantages of relying on devices fabricated in a thin film technology as their properties can be in situ tuned by the back gate voltage, which prefigures tuning capabilities in scalable qubits architectures.
- Published
- 2018
5. Breakthroughs in 3D Sequential technology
- Author
-
C. Scibetta, S. Beaurepaire, F. Fournel, A. Roman, S. Chevalliez, C. Fenouillet-Beranger, X. Garros, Xavier Federspiel, J. Aubin, V. Larrey, Perrine Batude, F. Kouemeni-Tchouake, F. Ponthenier, J-B. Pin, Daniel Scevola, Lucile Arnaud, F. Aussenac, C. Guerin, P. Acosta-Alba, V. Mazzocchi, Sebastien Kerdiles, H. Fontaine, Shay Reboh, P. Perreau, Sylvain Maitrejean, Laurent Brunet, N. Rambal, M. Vinet, Pascal Besson, Christophe Morales, T. Lardin, V. Balan, Vincent Jousseaume, D. Ney, F. Mazen, and Francois Andrieu
- Subjects
010302 applied physics ,Materials science ,business.industry ,Order (ring theory) ,02 engineering and technology ,Epitaxy ,01 natural sciences ,Active devices ,020202 computer hardware & architecture ,Design for manufacturability ,Reliability (semiconductor) ,CMOS ,Surface preparation ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Wafer ,business - Abstract
The 3D sequential integration, of active devices requires to limit the thermal budget of top tier processing to low temperature (LT) (i.e. $\mathrm{T}_{\text{TOP}}=500^{\circ}\mathrm{C})$ in order to ensure the stability of the bottom devices. Here we present breakthrough in six areas that were previously considered as potential showstoppers for 3D sequential integration from either a manufacturability, reliability, performance or cost point of view. Our experimental data demonstrate the ability to obtain 1) low-resistance poly-Si gate for the top FETs, 2) Full LT RSD epitaxy including surface preparation, 3) Stability of intermediate BEOL between tiers (iBEOL) with standard ULK/Cu technology, 4) Stable bonding above ULK, 5) Efficient contamination containment for wafers with Cu/ULK iBEOL enabling their re-introduction in FEOL for top FET processing 6) Smart Cut™ process above a CMOS wafer.
- Published
- 2018
- Full Text
- View/download PDF
6. 99.992 % $^{28}$Si CVD-grown epilayer on 300 mm substrates for large scale integration of silicon spin qubits
- Author
-
B. Bertrand, Jean-Michel Hartmann, A. D. Bulanov, M. F. Churbanov, Marc Sanquer, V. Mazzocchi, M. N. Drozdov, Jean-Paul Barnes, Petr G. Sennikov, Louis Hutin, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire de Transport Electronique Quantique et Supraconductivité (LaTEQS), PHotonique, ELectronique et Ingénierie QuantiqueS (PHELIQS), Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG), and European Project: 688539,H2020,H2020-ICT-2015,MOS-QUITO(2016)
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,FOS: Physical sciences ,02 engineering and technology ,Epitaxy ,01 natural sciences ,Inorganic Chemistry ,0103 physical sciences ,Materials Chemistry ,Isotopes of silicon ,Quantum computer ,010302 applied physics ,Condensed Matter - Materials Science ,Spins ,Dopant ,business.industry ,Materials Science (cond-mat.mtrl-sci) ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Quantum technology ,chemistry ,Quantum dot ,[PHYS.COND.CM-MS]Physics [physics]/Condensed Matter [cond-mat]/Materials Science [cond-mat.mtrl-sci] ,Optoelectronics ,0210 nano-technology ,business - Abstract
Silicon-based quantum bits with electron spins in quantum dots or nuclear spins on dopants are serious contenders in the race for quantum computation. Added to process integration maturity, the lack of nuclear spins in the most abundant $^{28}$silicon isotope host crystal for qubits is a major asset for this silicon quantum technology. We have grown $^{28}$silicon epitaxial layers (epilayers) with an isotopic purity greater than 99.992 % on 300mm natural abundance silicon crystals. The quality of the mono-crystalline isotopically purified epilayer conforms to the same drastic quality requirements as the natural epilayers used in our pre-industrial CMOS facility. The isotopically purified substrates are now ready for the fabrication of silicon qubits using a state-of-the-art 300 mm Si CMOS-foundries equipment and processes, Comment: 7 pages, 7 figures
- Published
- 2018
- Full Text
- View/download PDF
7. High performance low temperature FinFET with DSPER, gate last and Self Aligned Contact for 3D sequential mtegration
- Author
-
J. Micout, M. Casse, J.-P. Colinge, L. Desvoivres, Vincent Delaye, C. Fenouillet-Beranger, S. Barraud, X. Garros, Perrine Batude, J.M. Hartmann, R. Bortolin, V. Mazzocchi, Frédéric Mazen, G. Romano, B. Mathieu, N. Rambal, V. Balan, Zineb Saghi, F. Allain, M.-P. Samson, P. Besombes, C. Comboroure, M. Vinet, Quentin Rafhay, Joris Lacord, Claude Tabone, Alain Toffoli, Gerard Ghibaudo, C. Vizioz, Benoit Sklenard, V. Lapras, L. Lachal, Laurent Brunet, Virginie Loup, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), STMicroelectronics [Crolles] (ST-CROLLES), Laboratoire des technologies de la microélectronique (LTM ), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), ANR-10-LABX-0055,MINOS Lab,Minatec Novel Devices Scaling Laboratory(2010), and ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
Materials science ,Fabrication ,business.industry ,020208 electrical & electronic engineering ,Doping ,Recrystallization (metallurgy) ,02 engineering and technology ,Epitaxy ,Logic gate ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,020201 artificial intelligence & image processing ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,business - Abstract
session 32: Process and Manufacturing Technology (32.2); International audience; For the first time, a low temperature (LT) FinFET process is demonstrated, using Solid Phase Epitaxy Regrowth (SPER), gate last integration and Self Aligned Contact (SAC). The LT devices exhibit performances close to those of the High Temperature Process Of Reference (HT POR). Several techniques of SPER doping are investigated and an innovative Double SPER (DSPER) process using two amorphization/recrystallization steps, is demonstrated. This DSPER process has the advantage of doping the bulk of the S/D junctions. This work opens the door to the fabrication of high-performance LT FinFETs for 3D sequential integration.
- Published
- 2017
8. Towards 500°C SPER activated devices for 3D sequential integration
- Author
-
Maud Vinet, Vincent Delaye, Zineb Saghi, Perrine Batude, Benoit Sklenard, J.-P. Colinge, L. Pasini, V. Mazzocchi, Claire Fenouillet-Beranger, R. Berthelon, Laurent Brunet, J. Micout, Joris Lacord, Frédéric Mazen, B. Mathieu, Sylvain Joblot, Quentin Rafhay, Gerard Ghibaudo, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), STMicroelectronics [Crolles] (ST-CROLLES), and ANR-10-LABX-0055,MINOS Lab,Minatec Novel Devices Scaling Laboratory(2010)
- Subjects
Materials science ,Dopant ,business.industry ,Optoelectronics ,Recrystallization (metallurgy) ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Dopant Activation ,business ,Epitaxy - Abstract
session: Monolithic 3D 3; International audience; This work investigates the possibility to reduce the Solid Phase Epitaxy Regrowth (SPER) temperature for dopant activation needed in 3D sequential integration. The electrical results obtained on 28nm FDSOI devices show that 500°C SPER can yield similar performance to that of 600°C SPER and 1050°C spike anneal. This paper highlights the advantages of using a -oriented channel and tilted implantation to successfully reduce the SPER thermal budget. It also confirms that the channel can be used as a seed for the recrystallization. The analysis takes into account the SPER rate dependence on temperature, crystalline orientation, dopant type and dopant concentration.
- Published
- 2017
9. Recent advances in low temperature process in view of 3D VLSI integration
- Author
-
N. Rambal, C. Fenouillet-Beranger, X. Garros, G. Cibrario, M.-P. Samson, B. Mathieu, Fabrice Nemouchi, Perrine Batude, C. Guerin, C. Leroux, Laurent Brunet, C-M. V. Lu, Sebastien Kerdiles, O. Billoint, Daniel Benoit, M. Brocard, J. Micout, R. Gassilloud, M. Vinet, Pascal Besson, Bernard Previtali, Christian Arvet, L. Pasini, Sebastien Thuries, V. Lapras, Francois Andrieu, Virginie Loup, F. Deprat, P. Acosta-Alba, V. Beugin, V. Mazzocchi, P. Besombes, and J.M. Hartmann
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,Fabrication ,Annealing (metallurgy) ,Gate stack ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Dopant Activation ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,Engineering physics ,chemistry.chemical_compound ,chemistry ,Logic gate ,0103 physical sciences ,Silicide ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,0210 nano-technology - Abstract
In this paper, the recent advances in low temperature process in view of 3D VLSI integration are reviewed. Thanks to the optimization of each low temperature process modules (dopant activation, gate stack, epitaxy, spacer deposition) and silicide stability improvement, the top layer thermal budget fabrication has been decreased in order to satisfy the requirements for 3D VLSI integration.
- Published
- 2016
10. High performance CMOS FDSOI devices activated at low temperature
- Author
-
Louis Hutin, J. Mazurier, D. Barge, L. Pasini, Olivier Weber, Frédéric Mazen, F. Piegas Luce, Claire Fenouillet-Beranger, M. Vinet, Antoine Cros, E. Ghegin, B. Mathieu, S. Chhun, J. Borrel, Frederic Boeuf, Quentin Rafhay, Anthony Payet, Michel Haond, Perrine Batude, M. Casse, Fuccio Cristiano, Benoit Sklenard, Zineb Saghi, Joris Lacord, D. Blachier, J.P. Barnes, Gerard Ghibaudo, Francois Andrieu, V. Mazzocchi, N. Rambal, J. Micout, Vincent Delaye, V. Lapras, Laurent Brunet, R. Daubriac, Pascal Besson, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Équipe Matériaux et Procédés pour la Nanoélectronique (LAAS-MPN), Laboratoire d'analyse et d'architecture des systèmes (LAAS), Université Toulouse Capitole (UT Capitole), Université de Toulouse (UT)-Université de Toulouse (UT)-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Institut National des Sciences Appliquées (INSA)-Université Toulouse - Jean Jaurès (UT2J), Université de Toulouse (UT)-Université Toulouse III - Paul Sabatier (UT3), Université de Toulouse (UT)-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université de Toulouse (UT)-Université Toulouse Capitole (UT Capitole), Université de Toulouse (UT), Nano 2017, ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), Université Toulouse - Jean Jaurès (UT2J)-Université Toulouse 1 Capitole (UT1), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université Fédérale Toulouse Midi-Pyrénées-Université Toulouse - Jean Jaurès (UT2J)-Université Toulouse 1 Capitole (UT1), and Université Fédérale Toulouse Midi-Pyrénées
- Subjects
010302 applied physics ,Materials science ,business.industry ,02 engineering and technology ,01 natural sciences ,020202 computer hardware & architecture ,PMOS logic ,CMOS ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,[PHYS.COND.CM-MS]Physics [physics]/Condensed Matter [cond-mat]/Materials Science [cond-mat.mtrl-sci] ,Optoelectronics ,business ,NMOS logic - Abstract
International audience; 3D sequential integration requires top FETs processed with a low thermal budget (500-600°C). In this work, high performance low temperature FDSOI devices are obtained thanks to the adapted extension first architecture and the introduction of mobility boosters (pMOS: SiGe 27% channel / SiGe:B 35% RSD and nMOS: SiC:P RSD). This first demonstration of n and p extension first FDSOI devices shows that low temperature activated device can match the performance of a device with state-of-the-art high temperature process (above 1000°C).
- Published
- 2016
11. Recent advances in 3D VLSI integration
- Author
-
M. Brocard, Perrine Batude, J. Micout, Sebastien Thuries, P. Besombes, V. Mazzocchi, Laurent Brunet, Francois Andrieu, O. Billoint, C. Fenouillet-Beranger, M.-P. Samson, G. Cibrario, M. Vinet, N. Rambal, F. Deprat, Bernard Previtali, and C-M. V. Lu
- Subjects
Very-large-scale integration ,CMOS ,Computer science ,Process requirements ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Silicon on insulator ,Wafer ,Hardware_PERFORMANCEANDRELIABILITY ,Metal gate ,Hardware_LOGICDESIGN - Abstract
This work highlights recent advances in 3D VLSI integration. A review of low temperature process modules development such as junctions, spacers and salicidation is presented. Finally, for the first time, a full CMOS over CMOS 3D VLSI integration on 300mm wafers is demonstrated with a top level compatible with state of the art high performance FDSOI (Fully-Depleted Silicon On Insulator) process requirements such as High-k/metal gate or raised source and drain.
- Published
- 2016
12. 3D monolithic integration: Technological challenges and electrical results
- Author
-
Laurent Clavelier, Olivier P. Thomas, Perrine Batude, S. Michaud, V. Mazzocchi, L. Baud, Maud Vinet, H. Grampeix, A. Roman, Claude Tabone, A. Valentian, Fabrice Nemouchi, A. Pouydebasque, C. LeRoyer, Loic Sanchez, Amara Amara, V. Carron, Bernard Previtali, O. Faynot, and Simon Deleonibus
- Subjects
Materials science ,Wafer bonding ,Transistor ,Silicon on insulator ,Integrated circuit ,Condensed Matter Physics ,Engineering physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Threshold voltage ,Depletion region ,law ,Wafer ,Electrical and Electronic Engineering ,Layer (electronics) - Abstract
After a short reminder of the principle of monolithic 3D integration, this paper firstly reviews the main technological challenges associated to this integration and proposes solutions to assess them. Wafer bonding is used to have perfect crystalline quality of the top layer at the wafer scale. Thermally stabilized silicide is developed to use standard salicidation scheme in the bottom layer. Finally a fully depleted SOI low temperature process is demonstrated for top layer processing (overall temperature kept below 650^oC). In a second part the electrical results obtained within this integration scheme are summarized: mixed Ge over Si invertor is demonstrated and electrostatic coupling between top and bottom layer is used to shift the threshold voltage of the top layer. Finally circuit opportunities such as stabilized SRAM or gain in density are investigated.
- Published
- 2011
13. Experiments and simulation of the diffusion and activation of the n-type dopants P, As, and Sb implanted into germanium
- Author
-
Peter Pichler, B. Amon, Anton J. Bauer, V. Mazzocchi, Alain Claverie, Stephane Koffel, Jurgen Lorenz, Jean-Paul Barnes, R. J. Kaiser, P. Scheiblin, Lothar Frey, and Publica
- Subjects
Dopant ,Chemistry ,Annealing (metallurgy) ,diffusion ,Mineralogy ,chemistry.chemical_element ,Germanium ,Activation energy ,simulation ,Condensed Matter Physics ,Molecular physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,germanium ,Antimony ,activation ,Redistribution (chemistry) ,n-type doping ,Electrical and Electronic Engineering ,Order of magnitude ,Arsenic - Abstract
The effects of implant dose and annealing conditions on the diffusion, activation, and out-diffusion of the typical n-type dopants in germanium (phosphorus, arsenic, antimony) were studied. First, short annealing times were used to limit the diffusion of dopants and to match the conditions needed for the realization of shallow junctions. Sb is not well suited to achieve high activation levels because honeycomb voids can already form at doses of 3 × 1014 cm−2. Of the other two, P is a better candidate than As because it was possible to maximize the activation level to up to about 4.5 × 1019 cm−3 without noticeable diffusion. This absolute value has only a limited accuracy, though, since the mobility models available in literature lead to values which differ by more than one orde r of magnitude. Longer annealing times were then used to study the redistribution of the dopants. For P, a model based on migration predominantly via complexes with doubly negatively charged vacancies and dopant loss was implemented which allowed the simultaneous simulation of our experimental profiles with one set of parameters. The extracted diffusion coefficient with an activation energy of 2.2 eV is comparable to the results obtained in previous studies. No noticeable P clustering was observed in these experiments. The model was then adapted to simulate the redistribution of As and Sb. For Sb, clustering is apparent in the diffusion profiles and has to be taken into account in the simulations.
- Published
- 2011
14. A Benchmark of 300mm RP-CVD Chambers for the Low Temperature Epitaxy of Si and Sige
- Author
-
Jean-Paul Barnes, V. Mazzocchi, Jean-Michel Hartmann, and Francois Pierre
- Subjects
Materials science ,business.industry ,Benchmark (computing) ,Optoelectronics ,business ,Epitaxy - Abstract
Dichlorosilane (SiH2Cl2) is essential for the Selective Epitaxial Growth of Si and SiGe in the windows of patterned wafers. However, it is plagued by relatively slow growth rates at low temperatures. Meanwhile, hydrogenated silicon precursors (such as silane (SiH4), disilane (Si2H6) or trisilane (Si3H8)), yield significantly higher Si and SiGe Growth Rates (GR) at low temperatures. Such precursors are intrinsically non selective versus dielectric masking materials, but this difficulty can be overcome with advanced (Cyclic) Deposition / Etch processes. Liquid Si precursors such as trisilane have however several drawbacks: (i) they are expensive to produce with electronic-grade quality; (ii) being liquid, they require dedicated bubblers to be delivered into the growth chamber. Taking full advantage of their decomposition at very low temperatures is also not always possible, as the resulting films might be of lesser crystalline and electronic quality (gas phase nucleation and/or an adatom surface mobility which is too low). By contrast, disilane is gaseous and gives higher GR than silane (the Si-Si bond strength, 33 kcal mol.-1, is indeed weaker that the Si-H bond strength, 47 kcal. mol.-1). With the proper process parameters, it is possible to have reasonable growth rates down to 450°C only for SiGe(:B). It is thus a good choice of silicon precursor for the low temperature growth of Si and SiGe layers. This is illustrated in the following, where we have benchmarked SiH4 and Si2H6 against SiH2Cl2 for the low temperature growth of Si and SiGe in 300mm industrial Reduced Pressure – Chemical Vapour Deposition (RP-CVD) chambers from major Suppliers A and B. Si growth rates are, for T < 575°C, approximately ten times higher with Si2H6 than with SiH4, which are in turn roughly ten times higher than with SiH2Cl2 (see Figure 1). Activation energies, 49-52 kcal. mol.-1 (SiH4), 51-56 kcal. mol.-1 (Si2H6) or 60-61 kcal. mol.-1 (SiH2Cl2), are in-between the Si-H and Si-Cl bond strengths. For given GeH4 and Si precursor mass-flow ratios, lower Ge contents and significantly higher SiGe growth rates are obtained at 550°C, 20 Torr with Si2H6 than with SiH4 and especially SiH2Cl2 (see Figure 2). The dependency of the Ge content x on the F(GeH4) / F(Si precursor), linear for a SiH4 - based chemistry (x / (1-x) = 2.27–2.50 * F(GeH4) / F(SiH4)), is parabolic for Si2H6 and SiH2Cl2 based chemistries (x 2 / (1-x) = 0.51–0.58 * F(GeH4) / 2*F(Si2H6) and x 2 / (1-x) = 2.22-2.37 * F(GeH4) / F(SiH2Cl2), respectively). The associated SiGe GR increase linearly with the GeH4 mass-flow irrespective of the Si precursor used. With SiH4 and SiH2Cl2, growth rates (Ge concentrations) are typically lower (slightly lower) in Supplier A than in Supplier B chamber. The situation is the opposite with Si2H6. Growth rates (and Ge contents) are then higher in the former reactor than in the latter. This is assigned to (i) a ~ 5°C offset between the two and (ii) effective precursor flows which are different (likely due to chamber geometry differences). Growth rate activation energies and relationships linking Ge concentration to precursor mass-flow ratios are nevertheless similar, as shown in Figure 3 for the SiH2Cl2 + GeH4 chemistry and various temperatures. Process transfer between the two should be rather easy, We have otherwise assessed the interest of having an in-situ surface preparation chamber connected to the N2-purged transfer chamber of our cluster tool on interfacial contamination and epitaxial quality. There are indeed two ways of removing native oxide on a Si wafer: (i) thanks to an ex-situ “HF-last” wet cleaning followed by a short queue time loading in the N2-purged load-locks or (ii) thanks to an in-situ exposure of the wafer surface to a remote NH3/NF3 plasma which transforms native oxide into a salt which is sublimated at low temperature. As the oxide-free surface is exposed for minutes up to tens of minutes to the air in the former case, while it stays under N2 in the latter case, we would expect the second to be better. We have therefore compared both processes for Si surface preparation prior to epitaxy. Surfaces are with the in-situ process always under high purity N2. This results in a threshold H2 bake temperature (above which there is no O interfacial contamination anymore) which is shifted downwards by ~ 25°C (from 775°C down to 750°C). Below that temperature, O sheet concentrations are with the in-situ process typically one third those associated with “HF-Last” wet cleanings and epitaxial surfaces are smoother, as shown in Figure 4. Figure 1
- Published
- 2018
15. High performance 70nm gate length germanium-on-insulator pMOSFET with high-k/metal gate
- Author
-
Loic Sanchez, K. Romanjek, E. Augendre, A. Pouydebasque, Louis Hutin, Fabien Boulanger, Claude Tabone, Marie-Anne Jaud, Simon Deleonibus, V. Mazzocchi, J.M. Hartmann, P. Scheiblin, Maud Vinet, C. Le Royer, Laurent Clavelier, H. Grampeix, R. Truche, G. Reimbold, X. Garros, and S. Soliveres
- Subjects
Electron mobility ,Materials science ,business.industry ,Analytical chemistry ,Electrical engineering ,chemistry.chemical_element ,Germanium ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,Germanide ,chemistry.chemical_compound ,chemistry ,MOSFET ,Materials Chemistry ,Electrical and Electronic Engineering ,Tin ,business ,Leakage (electronics) ,Diode ,High-κ dielectric - Abstract
We demonstrate for the first time 70 nm gate length TiN/HfO 2 pMOSFETs on 200 mm GeOI wafers, with excellent performance: I ON = 260 μA/μm and I OFF = 500 nA/μm @ V d = −1.0 V (without germanide). These performance are obtained using adapted counterdoping and pocket implants. We report the best CV / I vs. I OFF trade-off for Ge or GeOI devices: CV / I = 4.4 ps, I OFF = 500 nA/μm @ V d = −1 V. Moreover, based on fine electrical characterizations ( μ , D it , R access , etc.) at T = 77–300 K, in-depth analysis of both ON and OFF states were carried out. Besides, calibrated TCAD simulations were performed to predict the performance enhancements which can be theoretically reached after further device optimization. By using germanide and reducing both interface state density and diode leakage we expect I ON = 450 μA/μm, I OFF = 100 nA/μm @ V d = −1 V for L g = 70 nm.
- Published
- 2009
16. Doping of germanium by phosphorus implantation: Prediction of diffused profiles with simulation
- Author
-
Alain Claverie, V. Mazzocchi, Stephane Koffel, and P. Scheiblin
- Subjects
Materials science ,Silicon ,Mechanical Engineering ,Doping ,chemistry.chemical_element ,Germanium ,Substrate (electronics) ,Condensed Matter Physics ,Crystallographic defect ,Molecular physics ,Crystallography ,chemistry ,Mechanics of Materials ,Thermal ,General Materials Science ,Wafer ,Diffusion (business) - Abstract
Wafers made of germanium epitaxied on silicon were implanted at various doses and energies and were then annealed at temperatures ranging from 515 to 600 °C and process times ranging from 10 to 60 s. The corresponding SIMS profiles were used as targets for the optimization of the parameters of a diffusion model. Although diffusion in germanium is often believed to be mediated by vacancies, physical considerations on the damaging of the substrate led us to consider an interstitially mediated diffusion mechanism. The amorphization caused by the implantation was taken into account to set the initial distribution of point defects. Our predictions of the diffused phosphorus profiles were obtained using a pair-model with various charge states including solubility limit and outdiffusion. This modelling allowed us to accurately fit with the same set of parameters more than 15 experimental profiles, even the box-shaped ones that occur at higher thermal budget.
- Published
- 2008
17. Enabling 3D Monolithic Integration
- Author
-
Perrine Batude, Simon Deleonibus, Loic Sanchez, Cyrille Leroyer, Laurence Baud, Fabrice Nemouchi, Maud Vinet, Corine Comboroure, A. Pouydebasque, F. Aussenac, Laurent Clavelier, V. Mazzocchi, V. Carron, Bernard Previtali, Stéphane Pocas, Helen Grampeix, Antonio Roman, and Claude Tabone
- Subjects
Interconnection ,Materials science ,business.industry ,Wafer bonding ,Transistor ,Silicon on insulator ,Dopant Activation ,law.invention ,law ,Chemical-mechanical planarization ,Optoelectronics ,Thin film ,business ,Sheet resistance - Abstract
P. Batude, M. Vinet, L. Clavelier, A. Pouydebasque, C. Tabone, A. Roman, L. Baud, V. Carron, F. Nemouchi, L. Sanchez, and S. Deleonibus. CEA-LETI, Minatec, 17 rue des Martyrs, 38054 Grenoble Cedex 9, France. perrine.batude@cea.fr 3D integration is regularly mentioned for its potential in decreasing interconnection delay, and for the density gain brought by stacking several transistors layers. An additional benefit of 3D integration lies in an independent optimization of n-FET and p-FET allowed by stacking entire p-FET onto n-FET layers. In this integration scheme, connecting the layers at the transistor scale is absolutely mandatory. 3D monolithic integration, with its high alignment performance fulfils this requirement whereas parallel integration falls short in this aspect (best alignment performance at 1 sigma ~0.5μm). To achieve 3D monolithic integration, some issues such as realization of high quality top film, high stability bottom FET, low TB (Thermal Budget) top FET still have to be solved. In this paper, a 3D monolithic process flow relying on molecular Wafer Bonding (WB) (fig.1) is proposed and breakthroughs in the critical steps are presented. It allows full enhancement of n and p-FET performance through material choice, strain options, surface and channel orientation and metal workfunction tuning. Note that WB, contrary to other techniques for upper thin film realisation based on recristallisation, offers the possibility to co-integrate different surface and channel orientations. Furthermore this mature process step leads to a high quality crystalline top film with low TB. For the top crystalline layer realization, a Ge or Si on insulator substrate is bonded at room temperature on the fully processed bottom transistor layer after planarization of its topology (fig.1(b)). A low temperature anneal (200°C) is performed to strengthen the bonding interface before mechanical substrate removal. The bonding is found of excellent quality with bonding energy of 900 mJm (mazzara method) and clean acoustic and infrared characterisation as shown in figure 2 (a,b) . Note that the Inter Layer Dielectric (ILD) thickness (fig.2(c)) is thinned down to 100 nm and allows dense 3D contacts. Indeed this additional depth, specific to 3D technology must be minimized to enable the contact scalability as its etching and filling become critical. To spare the bottom FET from high temperature anneal for top transistor dopant activation, which would have detrimental impact on its performance, SPE (Solid Phase Epitaxial) on thin SOI films (
- Published
- 2008
18. Activation level in boron-doped thin germanium-on-insulator (GeOI): Extraction method and impact of mobility
- Author
-
Laurent Clavelier, Stéphane Koffel, P. Scheiblin, V. Mazzocchi, Cyrille Le Royer, Louis Hutin, and Simon Deleonibus
- Subjects
Electron mobility ,Materials science ,business.industry ,Annealing (metallurgy) ,Mechanical Engineering ,Doping ,chemistry.chemical_element ,Germanium ,Condensed Matter Physics ,Condensed Matter::Materials Science ,chemistry ,Mechanics of Materials ,Electrical resistivity and conductivity ,Condensed Matter::Superconductivity ,Optoelectronics ,General Materials Science ,Wafer ,business ,Boron ,Sheet resistance - Abstract
We hereby present a non-destructive method for extracting the activation level on boron-doped germanium-on-insulator (GeOI) wafers, with a discussion on the impact of the hole mobility model. This method combines Monte Carlo boron profile simulations with optical Ge layer thickness TGe and electrical sheet resistance Rsh measurements. As B atoms are known not to diffuse in Ge for the usual activation temperatures (
- Published
- 2008
19. Bimonthly Chemotherapy with Oxaliplatin, Irinotecan, Infusional 5-Fluorouracil/Folinic Acid in Patients with Metastatic Colorectal Cancer Pretreated with Irinotecan- or Oxaliplatin-Based Chemotherapy
- Author
-
Stefania Nobili, Teresita Mazzei, S. Del Buono, D. Checcacci, V. Mazzocchi, F. Filippelli, and Enrico Mini
- Subjects
Adult ,Male ,Oncology ,medicine.medical_specialty ,Organoplatinum Compounds ,medicine.medical_treatment ,Leucovorin ,colorectal cancer, fluorouracil, oxaliplatin, irinotecan ,Kaplan-Meier Estimate ,Adenocarcinoma ,Irinotecan ,Gastroenterology ,Folinic acid ,Internal medicine ,Antineoplastic Combined Chemotherapy Protocols ,medicine ,Humans ,Pharmacology (medical) ,Aged ,Salvage Therapy ,Pharmacology ,Chemotherapy ,business.industry ,Combination chemotherapy ,Middle Aged ,Chemotherapy regimen ,Oxaliplatin ,Infectious Diseases ,Tolerability ,Drug Resistance, Neoplasm ,Toxicity ,Camptothecin ,Female ,Fluorouracil ,Neoplasm Recurrence, Local ,Colorectal Neoplasms ,business ,medicine.drug - Abstract
This study was conducted to assess the tolerability and efficacy of a ternary bimonthly irinotecan (CPT-11) - oxaliplatin (OHP) - infusional 5-fluorouracil (5-FU)/folinic acid (FA) combination in advanced colorectal cancer patients who had received prior CPT-11 and/or OHP-based chemotherapy regimen. Colorectal cancer patients were given bimonthly CPT-11 as a 90-min infusion, followed by OHP (85 mg/m(2)), FA (200 mg/m(2)) 2-h infusions and 5-FU (48-h infusion). CPT-11 and 5-FU doses were escalated as reported below. 26 patients were recruited. Fourteen patients had received a prior CPT-11-, 6 patients a prior OHP-based chemotherapy regimen and 6 patients both regimens. Three dose levels were investigated: CPT-11 100, 120 and 140 mg/m(2) and 5-FU 1500, 1800 and 2100 mg/m(2) in 6, 12 and 8 patients, respectively. All patients were evaluable for toxicity, 24 for antitumor activity. At all dose levels toxicity was acceptable. Grade 4 toxicity occurred in two patients only (neutropenia in one case and stomatitis in another one, 3.8%). Grade 3 toxicities included nausea and vomiting (34.6%), asthenia (26.9%), neurosensory toxicity (15.4%), neutropenia (3.8%) and diarrhea (3.8%). Hematological toxicity was infrequent and generally mild. At the third dose level, a higher, although not significantly different incidence of hematological and neurosensory toxicity (both occurring in 62.5% of cases, all grades) was observed compared to the other two, while nausea and vomiting were significantly less frequent (37.5% vs 100%). Overall, we observed 2 complete responses, 9 partial responses (OR 45.8%), 8 stable disease (33.3%), and 5 disease progression (20.8%). Median overall survival was 18 months and median time-to-progression 5.5 months. This combination showed moderate toxicity and promising antitumor activity in CPT-11 and/or OHP pretreated colorectal cancer patients. The second dose level using CPT-11 at 120 mg/m(2) and 5-FU at 1800 mg/m(2) is recommended for further phase II studies in this patient population.
- Published
- 2008
20. Pattern of care and effectiveness of treatment for glioblastoma patients in the real world: Results from a prospective population-based registry. Could survival differ in a high-volume center?
- Author
-
Alba A. Brandes, Enrico Franceschi, Mario Ermani, Alicia Tosoni, Fiorenzo Albani, Roberta Depenni, Marina Faedi, Anna Pisanello, Girolamo Crisi, Benedetta Urbini, Claudio Dazzi, Luigi Cavanna, Claudia Mucciarini, Giuseppe Pasini, Stefania Bartolini, Gianluca Marucci, Luca Morandi, Elena Zunarelli, Serenella Cerasoli, Giorgio Gardini, Giovanni Lanza, Enrico Maria Silini, Silvio Cavuto, Agostino Baruzzi, A. Baruzzi, F. Albani, F. Calbucci, R. D'Alessandro, R. Michelucci, A. Brandes, V. Eusebi, S. Ceruti, E. Fainardi, R. Tamarozzi, E. Emiliani, M. Cavallo, E. Franceschi, A. Tosoni, F. Fiorica, A. Valentini, R. Depenni, C. Mucciarini, G. Crisi, E. Sasso, C. Biasini, L. Cavanna, D. Guidetti, N. Marcello, A. Pisanello, A.M. Cremonini, G. Guiducci, S. de Pasqua, S. Testoni, R. Agati, G. Ambrosetto, A. Bacci, E. Baldin, A. Baldrati, E. Barbieri, S. Bartolini, E. Bellavista, F. Bisulli, E. Bonora, F. Bunkheila, V. Carelli, M. Crisci, P. Dall'Occa, D. de Biase, S. Ferro, C. Franceschi, G. Frezza, V. Grasso, M. Leonardi, G. Marucci, V. Mazzocchi, L. Morandi, B. Mostacci, G. Palandri, E. Pasini, M. Pastore Trossello, A. Pession, M. Ragazzi, P. Riguzzi, R. Rinaldi, S. Rizzi, G. Romeo, F. Spagnolli, P. Tinuper, C. Trocino, S. Cerasoli, M. Dall'Agata, M. Faedi, M. Frattarelli, G. Gentili, A. Giovannini, P. Iorio, U. Pasquini, G. Galletti, C. Guidi, W. Neri, A. Patuelli, S. Strumia, M. Casmiro, A. Gamboni, F. Rasi, G. Cruciani, P. Cenni, C. Dazzi, AR. Guidi, F. Zumaglini, A. Amadori, G. Pasini, M. Pasquinelli, E. Pasquini, A. Polselli, A. Ravasio, B. Viti, M. Sintini, A. Ariatti, F. Bertolini, G. Bigliardi, P. Carpeggiani, F. Cavalleri, S. Meletti, P. Nichelli, E. Pettorelli, G. Pinna, E. Zunarelli, F. Artioli, I. Bernardini, M. Costa, G. Greco, R. Guerzoni, C. Stucchi, C. Iaccarino, R. Rizzi, G. Zuccoli, P. Api, F. Cartei, E. Fallica, E. Granieri, F. Latini, G. Lelli, C. Monetti, V. Ramponi, A. Saletti, R. Schivalocchi, S. Seraceni, M.R. Tola, B. Urbini, C. Giorgi, E. Montanari, D. Cerasti, P. Crafa, I. Dascola, I. Florindo, S. Mazza, F. Servadei, EM. Silini, P. Torelli, P. Immovilli, N. Morelli, C. Vanzo, Brandes, Alba A, Franceschi, Enrico, Ermani, Mario, Tosoni, Alicia, Albani, Fiorenzo, Depenni, Roberta, Faedi, Marina, Pisanello, Anna, Crisi, Girolamo, Urbini, Benedetta, Dazzi, Claudio, Cavanna, Luigi, Mucciarini, Claudia, Pasini, Giuseppe, Bartolini, Stefania, Marucci, Gianluca, Morandi, Luca, Zunarelli, Elena, Cerasoli, Serenella, Gardini, Giorgio, Lanza, Giovanni, Silini, Enrico Maria, Cavuto, Silvio, Baruzzi, Agostino, Calbucci, F, D'Alessandro, R, Michelucci, R, Eusebi, V, Ceruti, S, Fainardi, E, Tamarozzi, R, Emiliani, E, Cavallo, M, Fiorica, F, Valentini, A, Depenni, R, Mucciarini, C, Crisi, G, Sasso, E, Biasini, C, Cavanna, L, Guidetti, D, Marcello, N, Pisanello, A, Cremonini, A M, Guiducci, G, de Pasqua, S, Testoni, S, Agati, R, Ambrosetto, G, Bacci, A, Baldin, E, Baldrati, A, Barbieri, E, Bartolini, S, Bellavista, E, Bisulli, F, Bonora, E, Bunkheila, F, Carelli, V, Crisci, M, Dall'Occa, P, de Biase, D, Ferro, S, Franceschi, C, Frezza, G, Grasso, V, Leonardi, M, Marucci, G, Mazzocchi, V, Morandi, L, Mostacci, B, Palandri, G, Pasini, E, Pastore Trossello, M, Pession, A, Ragazzi, M, Riguzzi, P, Rinaldi, R, Rizzi, S, Romeo, G, Spagnolli, F, Tinuper, P, Trocino, C, Cerasoli, S, Dall'Agata, M, Faedi, M, Frattarelli, M, Gentili, G, Giovannini, A, Iorio, P, Pasquini, U, Galletti, G, Guidi, C, Neri, W, Patuelli, A, Strumia, S, Casmiro, M, Gamboni, A, Rasi, F, Cruciani, G, Cenni, P, Dazzi, C, Guidi, Ar, Zumaglini, F, Amadori, A, Pasini, G, Pasquinelli, M, Pasquini, E, Polselli, A, Ravasio, A, Viti, B, Sintini, M, Ariatti, A, Bertolini, F, Bigliardi, G, Carpeggiani, P, Cavalleri, F, Meletti, S, Nichelli, P, Pettorelli, E, Pinna, G, Zunarelli, E, Artioli, F, Bernardini, I, Costa, M, Greco, G, Guerzoni, R, Stucchi, C, Iaccarino, C, Rizzi, R, Zuccoli, G, Api, P, Cartei, F, Fallica, E, Granieri, E, Latini, F, Lelli, G, Monetti, C, Ramponi, V, Saletti, A, Schivalocchi, R, Seraceni, S, Tola, M R, Urbini, B, Giorgi, C, Montanari, E, Cerasti, D, Crafa, P, Dascola, I, Florindo, I, Mazza, S, Servadei, F, Silini, Em, Torelli, P, Immovilli, P, Morelli, N, and Vanzo, C
- Subjects
Oncology ,medicine.medical_specialty ,medicine.medical_treatment ,Population ,Medicine (miscellaneous) ,temozolomide ,NO ,surgery ,center volume ,glioblastoma ,radiotherapy ,Internal medicine ,Glioma ,medicine ,education ,Prospective cohort study ,education.field_of_study ,Temozolomide ,Neurologic Oncology ,business.industry ,Incidence (epidemiology) ,Articles ,medicine.disease ,nervous system diseases ,Clinical trial ,Radiation therapy ,business ,medicine.drug - Abstract
Background As yet, no population-based prospective studies have been conducted to investigate the incidence and clinical outcome of glioblastoma (GBM) or the diffusion and impact of the current standard therapeutic approach in newly diagnosed patients younger than aged 70 years. Methods Data on all new cases of primary brain tumors observed from January 1, 2009, to December 31, 2010, in adults residing within the Emilia-Romagna region were recorded in a prospective registry in the Project of Emilia Romagna on Neuro-Oncology (PERNO). Based on the data from this registry, a prospective evaluation was made of the treatment efficacy and outcome in GBM patients. Results Two hundred sixty-seven GBM patients (median age, 64 y; range, 29–84 y) were enrolled. The median overall survival (OS) was 10.7 months (95% CI, 9.2–12.4). The 139 patients ≤aged 70 years who were given standard temozolomide treatment concomitant with and adjuvant to radiotherapy had a median OS of 16.4 months (95% CI, 14.0–18.5). With multivariate analysis, OS correlated significantly with KPS (HR = 0.458; 95% CI, 0.248–0.847; P = .0127), MGMT methylation status (HR = 0.612; 95% CI, 0.388–0.966; P = .0350), and treatment received in a high versus low-volume center (HR = 0.56; 95% CI, 0.328–0.986; P = .0446). Conclusions The median OS following standard temozolomide treatment concurrent with and adjuvant to radiotherapy given to (72.8% of) patients aged ≤70 years is consistent with findings reported from randomized phase III trials. The volume and expertise of the treatment center should be further investigated as a prognostic factor.
- Published
- 2014
21. Linea Guida 'Controllo non distruttivo ad onde convogliate di tubazioni'
- Author
-
M. Della Giovampaola, E. Trabucco, M. Ziron, R. Giraldi, S. Geppetti, L. Pallavicini, P. Romagnoni, A. Demma, G. Elia, V. Mazzocchi, E. Pichini Maini, C. De Petris, C. Delle Site, V. Annoscia, P. Lenzuni, L. Giuliani, R. Romano, F. Boella, M. Piovesan, E. D’Amico, E. Rampado, MARZANI, ALESSANDRO, M. Della Giovampaola, E. Trabucco, M. Ziron, R. Giraldi, S. Geppetti, L. Pallavicini, P. Romagnoni, A. Marzani, A. Demma, G. Elia, V. Mazzocchi, E. Pichini Maini, C. De Petri, C. Delle Site, V. Annoscia, P. Lenzuni, L. Giuliani, R. Romano, F. Boella, M. Piovesan, E. D’Amico, and E. Rampado
- Subjects
DECRETO MINISTERIALE 329/04 ARTT. 10 E 16 ,ONDE GUIDATE ,ISPEZIONE DI TUBAZIONI ,LINEA GUIDA - Abstract
Il metodo ad Onte Guidate sta riscuotendo sempre maggiore interesse nel campo della sicurezza degli impianti industriali. Esso consente, infatti, di svolgere con semplicità e rapidità, un controllo di screening delle tubazioni ai fini della valutazione del loro stato di conservazione ed efficienza. Proprio perché controllo di screening, il metodo ad Onde Guidate presuppone ulteriori controlli di dettaglio, da effettuare su zone e componenti delle tubazioni che abbiano evidenziato indicazioni di una certa rilevanza. L’utilizzo esteso a vari tipi d’installazione e l’agevole ripetersi dell’indagine su tubazioni in esercizio, rende questo controllo particolarmente indicato per una diagnosi d’insieme (a carattere globale) sullo stato d’integrità anche di tubazioni coibentate o interrate, difficilmente ispezionabili con altri metodi, senza interventi di preparazione particolarmente onerosi. La linea guida qui proposta è stata redatta con l’intento di fornire uno strumento per fornire: • per quanto possibile, il senso concreto delle potenzialità diagnostiche della metodica, ma sottolinearne anche le limitazioni applicative; • gli elementi essenziali di caratterizzazione della metodica sotto il profilo della fisica di base, dell’adeguatezza dell’approccio diagnostico per le diverse tipologie applicative, della descrizione delle principali condizioni operative del controllo e interpretative dei dati rilevati; • le basi per un approccio strutturato della metodica, complementare al primo importante contributo della specifica norma sperimentale di prodotto elaborata a livello nazionale.
- Published
- 2008
22. GeOI pMOSFETs Scaled Down to 30-nm Gate Length With Record Off-State Current
- Author
-
Claude Tabone, Louis Hutin, Maud Vinet, Bernard Previtali, V. Mazzocchi, C. Le Royer, O. Faynot, J.-F. Damlencourt, A. Pouydebasque, J.M. Hartmann, and H. Grampeix
- Subjects
Fabrication ,Materials science ,Silicon ,business.industry ,Transistor ,Electrical engineering ,chemistry.chemical_element ,Germanium ,Electronic, Optical and Magnetic Materials ,Threshold voltage ,law.invention ,chemistry ,law ,MOSFET ,Miniaturization ,Optoelectronics ,Electrical and Electronic Engineering ,business ,Leakage (electronics) - Abstract
We present in this letter the most aggressive dimensions reported to date in Ge-channel transistors: pMOSFETs with 30-nm gate length on ultrathin germanium-on-insulator substrates (TGe = 25 nm). By improving both the Ge-enrichment technique and the transistor fabrication process, we demonstrate devices with controlled threshold voltage (Vth) and excellent short-channel effects. Moreover, the low defectivity and the very low thickness of the Ge film lead to a record drain OFF-state leakage for Ge-channel devices (< 1 nA/?m at VDS = -1 V) and thus, to the best ON-state to OFF-state current ratio (ION/IOFF ~5 × 105), even at Lg = 55 nm.
- Published
- 2010
23. FDSOI: A solution to suppress boron deactivation in low temperature processed devices
- Author
-
Cuiqin Xu, Perrine Batude, Sorin Cristoloveanu, Mireille Mouis, Benoit Sklenard, V. Mazzocchi, P. Rivallin, K. Yckache, M. Vinet, F.Y. Liu, Bernard Previtali, O. Faynot, Thierry Poiroux, and J. Guerrero
- Subjects
Materials science ,Dopant ,Silicon ,Annealing (metallurgy) ,business.industry ,chemistry.chemical_element ,Silicon on insulator ,Dopant Activation ,Epitaxy ,chemistry ,Electronic engineering ,Optoelectronics ,business ,Boron ,Sheet resistance - Abstract
The main challenge of Low Temperature (LT) Solid Phase Epitaxy (SPE) is the dopant deactivation during post activation anneal. For the first time, we demonstrate that, for LT-SPE activated Boron (B) on thin SOI substrates, B deactivation can be well controlled during post anneal at 400 °C–600 °C. This is achieved by locating the preamorphization induced end of range defects close to the Buried OXide (BOX), thus benefiting from the defect cutting off and sinking effect of the BOX. This offers the opportunity to use LT-SPE activation for dopant activation of the bottom and top FETs in LT 3D sequential integration. In addition, this allows ultra shallow junction to effectively beneficiate from an activation which is higher with LT SPE than with conventional high temperature (HT) spike anneal (1050°C). LT SPE B-doped ultra shallow junction (∼10nm) with a sheet resistance of 900 Ω/┚ was achieved, fulfilling the ITRS requirement for device scaling, down to 22nm node (1100 Ω/┚).
- Published
- 2012
24. 3D monolithic integration
- Author
-
J.M. Hartmann, Loic Sanchez, F. Allain, Maud Vinet, A. Pouydebasque, V. Carron, Bernard Previtali, Perrine Batude, D. Lafond, Simon Deleonibus, Claude Tabone, V. Mazzocchi, Alain Toffoli, C. Le Royer, O. Faynot, and L. Baud
- Subjects
Materials science ,Wafer bonding ,business.industry ,Transistor ,Process (computing) ,Electrical engineering ,Dielectric ,Engineering physics ,law.invention ,law ,Logic gate ,Wafer ,Static random-access memory ,business ,Realization (systems) - Abstract
3D monolithic integration, thanks to its high vertical density of interconnections, is the only available option for applications requiring connections at the transistor scale. However to achieve 3D monolithic integration, some issues such as realization of high quality top film, high stability bottom FET, low thermal budget top FET still have to be solved. In this work, a 3D monolithic process flow relying on molecular wafer bonding is proposed and results in all critical steps are given. Significant breakthroughs have been obtained using a full wafer molecular bonding with thin interlayer dielectric and an original salicidation process stabilized up to 650°C enabling to reach high performance for the top and bottom transistor. With such technology, we demonstrate functional top and bottom transistors as well as 3D structures such as invertors and SRAMs.
- Published
- 2011
25. High mobility CMOS: First demonstration of planar GeOI p-FETs with SOI n-FETs
- Author
-
Y. Le Cunff, Perrine Batude, V. Mazzocchi, Christian Arvet, M. Vinet, Louis Hutin, Fabrice Nemouchi, J.-F. Damlencourt, V. Carron, Benjamin Vincent, Claude Tabone, C. Le Royer, C. Vizioz, J.M. Hartmann, H. Grampeix, and K. Romanjek
- Subjects
Materials science ,Fabrication ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,7. Clean energy ,01 natural sciences ,law.invention ,Planar ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Materials Chemistry ,Wafer ,Electrical and Electronic Engineering ,Metal gate ,010302 applied physics ,business.industry ,Transistor ,Electrical engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,CMOS ,Optoelectronics ,0210 nano-technology ,business ,Hardware_LOGICDESIGN - Abstract
We report for the first time the fabrication and the electrical operation of a Ge and Si based CMOS planar scheme with GeOI pFETs and SOI nFETs, taking advantage of the best mobility configuration for holes (Ge) and electrons (Si). The hybrid Ge/Si wafers have been obtained by the local Ge enrichment technique on SOI wafers. A sub 600 C CMOS transistor process featuring High-K/Metal Gate and silico-germanidation was used to obtain functional high mobility CMOS transistors (down to L = 160 nm). Excellent low-field mobility values for electrons in Si nFETs and holes in Ge pFETs were achieved (275 and 142 cm 2 /V/s resp.).
- Published
- 2011
- Full Text
- View/download PDF
26. High Temperature Ion Implantation Evaluation In Silicon & Germanium
- Author
-
F. Milési, J. Leveneur, V. Mazzocchi, F. Mazen, F. Gonzatti, K. Yckache, Jiro Matsuo, Masataka Kase, Takaaki Aoki, and Toshio Seki
- Subjects
Ion implantation ,Materials science ,business.industry ,Heat transfer ,Doping ,Analytical chemistry ,Optoelectronics ,Wafer ,Thermal transfer ,Substrate (electronics) ,business ,Current density ,Thermal energy - Abstract
High temperature ion implantation was investigated as a possible solution to reduce the thermal budget in doping processes. Pre‐doped Si and Ge 200 mm wafers were used as substrates for implantation of 33As and 5B. Different heating methods were used. This includes the use of thermal transfer from a hot plate on the backside of the wafer or the thermal energy deposited by a high current density beam. An appropriate model was used to evaluate the wafer temperature when a direct measurement was not possible. We studied the efficiency of different configurations to maximise the thermal transfer to the wafer. The maximum temperature reached on the implanted substrate was calculated at 550 °C. Four‐point resistivity measurements and spectroscopic ellipsometry were used to understand the influence of the in situ heating on the implanted materials properties. Interestingly, self‐annealing resulting in activation and consequent re‐crystallization is observed at a much lower temperature than what is usually requir...
- Published
- 2011
27. Electrical safety during transplantation
- Author
-
F. Fiamingo, G. Platania, P. Gentile, L. Di Lollo, D. Ranieri, R. Razzano, V. Mazzocchi, G. Camin, G.L. Amicucci, and G. Sebastiani
- Subjects
Service (business) ,medicine.medical_specialty ,Safety Management ,Transplantation ,Indirect contact ,business.industry ,media_common.quotation_subject ,Medical equipment ,Fires ,Surgery ,Electric Injuries ,Electricity ,Electrical equipment ,Medicine ,Humans ,Operations management ,Quality (business) ,Medical diagnosis ,Safety ,business ,Reliability (statistics) ,media_common - Abstract
Technologic innovations enable management of medical equipment and power supply systems, with improvements that can affect the technical aspects, economics, and quality of medical service. Herein are outlined some technical guidelines, proposed by Istituto Superiore per la Prevenzione e la Sicurezza del Lavoro, for increasing the effectiveness of the power supply system and the safety of patients and surgeons in the operating room, with particular focus on transplantation. The dependence of diagnoses and therapies on operation of the electrical equipment can potentially cause great risk to patients. Moreover, it is possible that faulty electrical equipment could produce current that may flow through the patient. Because patients are particularly vulnerable when their natural protection is considerably decreased, as during transplantation or other surgery, power supply systems must operate with a high degree of reliability and quality to prevent risk, and must be designed to reduce hazards from direct and indirect contact. Reliability of the power supply system is closely related to the quality of the project, choice of materials, and management of the system (eg, quality and frequency of servicing). Among the proposed guidelines, other than normal referencing, are (1) adoption of a monitoring system to improve the quality of the electrical parameters in the operating room, (2) institution of emergency procedures for management of electrical faults, (3) a procedure for management of fires in the operating room, (4) and maintenance interventions and inspections of medical devices to maintain minimal requirements of safety and performance.
- Published
- 2010
28. 20nm gate length trigate pFETs on strained SGOI for high performance CMOS
- Author
-
M. Casse, C. Le Royer, Laurent Clavelier, Cuiqin Xu, O. Faynot, Claude Tabone, Perrine Batude, Louis Hutin, J.M. Hartmann, V. Carron, X. Garros, H. Grampeix, A. Pouydebasque, V. Mazzocchi, R. Truche, Maud Vinet, J.-F. Damlencourt, and Olivier Weber
- Subjects
Electron mobility ,Materials science ,Silicon ,business.industry ,Electrical engineering ,Silicon on insulator ,chemistry.chemical_element ,Silicon-germanium ,chemistry.chemical_compound ,CMOS ,chemistry ,Logic gate ,MOSFET ,Optoelectronics ,business ,Metal gate - Abstract
We present the shortest and narrowest high-κ/metal gate n- and pFETs on compressively strained enriched SiGe On Insulator (c-SGOI) reported to date (L G =20nm; W=30nm; T SiGe =15nm). The range of active area widths in this work allows observing the transition from biaxial to uniaxial stress due to lateral elastic strain relaxation, and its benefit down to 20nm gate length on hole mobility and pFET performance (up to ×2.85 I Dlin enhancement vs. SOI, I ON =520µA/µm / I OFF =130nA/µm at L G =20nm and V DS =−1V). Moreover, an improved electrostatic integrity compared to SOI pFETs is demonstrated in c-SGOI (DIBL=120mV/V vs. 160mV/V, respectively at L G =30nm). Combined to the intrinsic |V th,p | lowering properties of c-SiGe, these characteristics qualify trigate c-SGOI as a very promising candidate for high performance pMOSFETs.
- Published
- 2010
29. Photonics and electronics integration in the HELIOS project
- Author
-
J. M. Hartmann, D. Van Thourhout, Philippe Grosse, Laurent Vivien, J-M. Fedeli, E. Augendre, Wim Bogaerts, V. Mazzocchi, and Franz Schrank
- Subjects
Engineering ,Technology and Engineering ,Wafer bonding ,wafer bonding ,02 engineering and technology ,HeliOS ,Hardware_PERFORMANCEANDRELIABILITY ,01 natural sciences ,7. Clean energy ,law.invention ,010309 optics ,law ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Microelectronics ,Wafer ,Electronics ,integrated optoelectronics ,business.industry ,021001 nanoscience & nanotechnology ,CMOS integrated circuits ,Photodiode ,micro-optics ,germanium ,optical receivers ,CMOS ,Optoelectronics ,photodetectors ,Photonics ,elemental semiconductors ,0210 nano-technology ,business ,Hardware_LOGICDESIGN - Abstract
The objective of the European project HELIOS is to combine a photonic layer with a CMOS circuit by different innovative means, using microelectronics processes. Bonding of AWG + Ge Photodiodes on CMOS wafer is achieved.
- Published
- 2010
30. Advances in 3D CMOS sequential integration
- Author
-
V. Mazzocchi, Perrine Batude, D. Lafond, N. Bouzaida, C. Le Royer, V. Carron, Amara Amara, Bernard Previtali, O. Faynot, Olivier P. Thomas, Maud Vinet, F. Allain, D. Fleury, L. Baud, Simon Deleonibus, Loic Sanchez, A. Pouydebasque, O. Cueto, J.M. Hartmann, Claude Tabone, and Alain Toffoli
- Subjects
Materials science ,business.industry ,Electrical engineering ,Equivalent oxide thickness ,Salicide ,Silicon-germanium ,Threshold voltage ,chemistry.chemical_compound ,chemistry ,CMOS ,Logic gate ,Optoelectronics ,Field-effect transistor ,business ,Sheet resistance - Abstract
For the first time 3D sequential CMOS integration turns up to be an actual competitor for sub 22nm technology nodes. Thanks to the original use of molecular bonding, high quality top Si active layers are obtained. Thermally robust bottom salicide goes through the whole top FET processing without any significant sheet resistance degradation. The low temperature integration of raised source and drain for top layers is demonstrated. A decrease by 4A of the Equivalent Oxide Thickness is measured when a low thermal budget process is implemented. The electrostatic coupling between stacked FETs is demonstrated thanks to an ultra thin inter layer dielectric thickness of 60nm. It leads to a threshold voltage dynamic shift of 130mV enabling SRAM stabilization.
- Published
- 2009
31. Boron and Phosphorus dopant activation in Germanium using laser annealing with and without preamorphization implant
- Author
-
J. Venturini, C. Sabatier, M. Py, Louis Hutin, C. Boniface, V. Mazzocchi, J.P. Barnes, D. Morel, Maud Vinet, C. Le Royer, K. Yckache, Karim Huet, and V. Delayer
- Subjects
Crystallography ,Materials science ,Ion implantation ,chemistry ,Phosphorus ,Analytical chemistry ,chemistry.chemical_element ,Pulse duration ,Germanium ,Dopant Activation ,Boron ,Layer (electronics) ,Amorphous solid - Abstract
In this work, we studied Excimer Laser Annealing at 308 nm with 180 ns pulse duration on Phosphorus and Boron implanted in Germanium, with or without Pre-Amorphization Implant (PAI) and co-implant. Using an industrial tool, experimental results show that we can achieve electrical activation levels up to 1.2×1020 cm−3 for P implant in Ge, which is the highest level regarding the appropriate mobility model. With the B implanted samples, we obtained an electrical activation level higher than 1×1020 cm−3 which is the better results obtained whithout PAI [1]. Melt thresholds were determined to be 0.65 J/cm² in amorphized Germanium (a-Ge) and 0.95 J/cm² in crystalline Germanium (c-Ge). With P, the best activation was obtained after a complete melt of the amorphous layer and the amorphous / crystalline (a/c) interface, necessary to obtain a perfectly recrystallized layer. In the case of B, we found a better activation in the submelt regime compared the melt one, and no contribution on the electrical activation with PAI was observed.
- Published
- 2009
32. Diffusion and activation of Boron and Phosphorus in preamorphized and crystalline Germanium using ultra fast spike anneal
- Author
-
Louis Hutin, V. Mazzocchi, K. Yckache, R. Truche, K. Vanormelingen, X. Pages, C. Le Royer, Maud Vinet, J.P. Barnes, M. Py, and P. Vermont
- Subjects
Materials science ,Ion implantation ,chemistry ,Silicon ,Dopant ,Annealing (metallurgy) ,Rapid thermal processing ,Analytical chemistry ,chemistry.chemical_element ,Germanium ,Dopant Activation ,Boron - Abstract
In this work, the influence of a pre-amorphization implant (PAI) combined with a single-step spike anneal on the junction formation in Germanium is inverstigated, both for n-type dopant with Phosphorus (P) as well as for p-type dopant Boron (B). The experiments were performed on a 1.5µm Germanium (Ge) epi-layer onto 200mm Silicon (Si) substrate. After implantation both with or without PAI, the dopant activation was achieved using a single step, conductive, spike anneal (ranging 550oC–900oC) in a ASM Levitor® system. Junction depth (Xj) and electrical activation levels (N act ) were characterized using secondary-ion-mass spectroscopy (SIMS) and sheet resistance Rs measurements. The results show that the combination of the high ramp rates with single step spike anneal on the one hand and PAI on the other hand, improved junctions characteristics compared to standard implant and RTP (Rapid Thermal Processing) conditions. The SIMS results show a reduction of junction depth for pre-amorphized junction after activation annealing up to 20% with P and 42% with B at 5×1018 cm−3 dopant concentration. In addition, electrical activation levels up to 4,95×1020 cm−3 were achieved for the p-type implants.
- Published
- 2009
33. High-k/metal Gate GeOI pMOSFET: Validation of the Lim&Fossum model for interface trap density extraction
- Author
-
X. Garros, N. Naval, Fabien Boulanger, C. Le Royer, G. Reimbold, Laurent Clavelier, H. Grampeix, J.M. Hartmann, K. Romanjek, Loic Sanchez, M. Vinet, A. Pouydebasque, E. Augendre, Claude Tabone, Simon Deleonibus, and V. Mazzocchi
- Subjects
Materials science ,Silicon ,business.industry ,Doping ,chemistry.chemical_element ,Silicon on insulator ,Germanium ,chemistry ,Logic gate ,MOSFET ,Electronic engineering ,Optoelectronics ,Thin film ,business ,High-κ dielectric - Abstract
The extraction of the trap density on Ge/gate-stack (top) and Ge/BOX (bottom) interfaces of germanium-on-insulator pMOSFETs is shown using the Lim & Fossum model historically developed for fully depleted SOI devices. The doping and the thickness of the Ge film do not change significantly the top interface trap density. The bottom one is slightly raised by doping the Ge film. This method can be used as a simple and efficient meaning of the interface trap density levels monitoring during process optimization of GeOI devices.
- Published
- 2008
34. First Demonstration of Deep Sub-Micron Germanium-on-Insulator PMOSFET with Adapted Threshold Voltage
- Author
-
E. Augendre, C. Le Royer, Claude Tabone, J.M. Hartmann, R. Truche, S. Soliveres, Simon Deleonibus, K. Romanjek, A. Pouydebasque, Loic Sanchez, H. Grampeix, V. Mazzocchi, and Laurent Clavelier
- Subjects
Electron mobility ,Materials science ,Silicon ,business.industry ,Doping ,Electrical engineering ,chemistry.chemical_element ,Drain-induced barrier lowering ,Germanium ,Threshold voltage ,chemistry ,MOSFET ,Optoelectronics ,business ,Leakage (electronics) - Abstract
Germanium MOSFET is considered as a promising alternative to silicon due to its intrinsically higher carrier mobility, especially for holes. Using appropriate channel and pocket implants, this paper presents for the first time well-behaved short channel devices characteristics featuring a negative Vth and no parasitic conduction at the BOx interface. After a brief presentation of the device fabrication, sub-threshold characteristics are discussed. The linear drain off-current can be reduced by a factor of 200 with channel+pocket implants. The effect of the drain voltage Vj is reflected in a strong band to band tunneling (BTBT) in the off-state leakage that can be reduced by junction optimization.
- Published
- 2008
35. Experimental Investigation of the Impact of Implanted Phosphorus Dose and Anneal on Dopant Diffusion and Activation in Germanium
- Author
-
M. Hopstaken, Jean-Paul Barnes, P. Scheiblin, Stéphane Koffel, Cyrille Le Royer, and V. Mazzocchi
- Subjects
Materials science ,Dopant ,Silicon ,business.industry ,Annealing (metallurgy) ,chemistry.chemical_element ,Germanium ,Dopant Activation ,chemistry ,Transmission electron microscopy ,MOSFET ,Optoelectronics ,business ,Sheet resistance - Abstract
Germanium has regained attention in the semiconductor industry for MOSFET application because of the higher mobility of carriers – two times higher mobility for electrons and four times for holes – as compared to silicon. In the opposite of the Silicon, the major issue with Germanium is to limit the n-dopant diffusion. Usual n-dopants (Phosphorus and Arsenic for example) are not electrically activated at an acceptable level without a large diffusion of the doping profile and a substantial dose loss. In this work, we have studied the influence of low energy and dose implant (15KeV to 40KeV @ 8E13 to 1E15at.cm−2) and low temperature anneal (515°C to 600°C) on diffusion, exodiffusion and activation of the phosphorus dopant into Germanium. The annealing steps were made in RTP furnace, the chemical profile and electrically active profiles were extracted by using Secondary-Ion-Mass Spectroscopy (SIMS) and sheet resistance measurement (Rs). To investigate the implantation-induced defects in depth, cross-sectional micrographs were made by using Transmission Electron Microscopy (TEM). Experimental results show that we achieved an efficient activation level by tuning both dose implant and anneal temperature, limiting the exodiffusion with pratically no diffusion of the dopant. We also show that very abrupt profile can be achieved with appropriate implant and thermal annealing conditions. To limit the leakage current in devices, we suppose we have to limit the defects generated during the implantation. Specially for dopant activation temperature anneal below 550°C, we have shown and observed by cross-sectional micrograph that the defects are totally removed by addition of a pre step of annealing at 400°C.
- Published
- 2008
36. High performance 70nm gate length Germanium-On-Insulator pMOSFET with high- /metal gate
- Author
-
Louis Hutin, H. Grampeix, Simon Deleonibus, Claude Tabone, G. Reimbold, J.M. Hartmann, K. Romanjek, S. Soliveres, R. Truche, Marie-Anne Jaud, P. Scheiblin, X. Garros, Fabien Boulanger, Loic Sanchez, E. Augendre, V. Mazzocchi, A. Pouydebasque, C. Le Royer, Laurent Clavelier, and M. Vinet
- Subjects
Electron mobility ,Materials science ,business.industry ,Electrical engineering ,chemistry.chemical_element ,Germanium ,Germanide ,chemistry.chemical_compound ,chemistry ,MOSFET ,Optoelectronics ,Metal gate ,business ,High-κ dielectric ,Leakage (electronics) ,Diode - Abstract
We demonstrate for the first time 70 nm gate length TiN/HfO2 pMOSFETs on 200 mm GeOI wafers, with excellent performances: ION=330 muA/mum & IOFF=1 muA/mum @ Vd=-1.2 V (without germanide). These performances are obtained using adapted counterdoping and pocket implants. We report the best CV/I vs. IOFF trade-off for Ge or GeOI: CV/I=4.4 ps, IOFF=500 nA/mum @ Vd=-1 V. Moreover, based on fine electrical characterizations (mu, Dit, Raccess) at T=77-300 K, in-depth analysis of both ON & OFF states were carried out. Besides, calibrated TCAD simulations were performed to predict the performance enhancements which can be theoretically reached after further device optimization. By using germanide and reducing both interface state density and diode leakage we expect ION=450 muA/mum, IOFF=100 nA/mum @ Vd=-1 V for Lg=70 nm.
- Published
- 2008
37. Optical and Electrical Characterization of Thin Germanium-On-Insulator (GeOI) Implanted Layers
- Author
-
C. Royer, V. Mazzocchi, Simon Deleonibus, P. Rivallin, and Laurent Clavelier
- Subjects
Fabrication ,Materials science ,business.industry ,Doping ,chemistry.chemical_element ,Germanium ,Insulator (electricity) ,Dopant Activation ,Ion implantation ,chemistry ,MOSFET ,Electronic engineering ,Optoelectronics ,business ,Sheet resistance - Abstract
Germanium MOSFETs with high-k gate dielectrics have received recent attention (Clavelier et al., 2005 and Wu et al., 2005) for the future ultra large scale integration (ULSI), because of their superior transport properties (Clavelier et al., 2005). Thin-body Ge-on-insulator (GeOI) structures can provide advantages like low parasitic capacitances, immunity for short-channel effects, and low junction leakage currents. For device fabrication, it is mandatory to determine optimized doping conditions. Therefore, data on ion implantation, amorphizations and dopant activation in GeOI are needed. In this paper, we use optical non destructive measurements to study amorphization due to BF2 implantation in GeOI ("GOF method"). The obtained results have been confirmed by the electrical characterization. Moreover, we have extracted from the measured sheet resistances the Bore activation for the considered anneal
- Published
- 2006
38. Prognostic factors in newly diagnosed glioblastoma: Have we missed gender?
- Author
-
Enrico Franceschi, Alicia Tosoni, Stefania Bartolini, Aa Brandes, L. Scopece, M. Ermani, Laura Lombardo, L. La Torre, Rosalba Poggi, and V. Mazzocchi
- Subjects
Oncology ,Cancer Research ,medicine.medical_specialty ,Temozolomide ,business.industry ,medicine.medical_treatment ,Standard treatment ,Newly diagnosed ,medicine.disease ,humanities ,Radiation therapy ,Regimen ,Internal medicine ,medicine ,business ,neoplasms ,Adjuvant ,medicine.drug ,Glioblastoma - Abstract
2058 Background: Following the EORTC/NCIC trial investigating temozolomide concurrent and adjuvant to radiotherapy, this regimen is considered standard treatment for newly diagnosed glioblastoma (G...
- Published
- 2011
39. Efficacy of tailored treatment for high- and low-risk medulloblastoma in adults: A large prospective phase II trial
- Author
-
Alicia Tosoni, Giovanni Frezza, A. Maestri, Aa Brandes, M. Ermani, V. Mazzocchi, L. Scopece, Claudio Ghimenton, Raffaele Agati, and Enrico Franceschi
- Subjects
Oncology ,Medulloblastoma ,stomatognathic diseases ,Cancer Research ,medicine.medical_specialty ,business.industry ,Internal medicine ,medicine ,business ,Tailored treatment ,medicine.disease - Abstract
2003 Background: To assess the efficacy of treatment of medulloblastoma (MB) in adults (> 18 years). Methods: Ninety-five MB patients (pts) were enrolled a prospective phase II trial conducted betw...
- Published
- 2010
40. 8723 MGMT methylation status does not provide adjunctive prognostic information in pts with 1p/19q intact anaplastic gliomas
- Author
-
L. Morandi, V. Mazzocchi, M. Ermani, Aa Brandes, F. Spagnolli, Alicia Tosoni, Enrico Franceschi, A. Bacci, C. Tomasello, and L. La Torre
- Subjects
Oncology ,Cancer Research ,medicine.medical_specialty ,Pathology ,business.industry ,Internal medicine ,Medicine ,Mgmt methylation ,business - Published
- 2009
41. 8705 Change in MGMT methylation status between first surgery for newly diagnosed glioblastoma and second surgery for recurrence: clinical implications
- Author
-
M. Ermani, A. Fioravanti, Aa Brandes, Alicia Tosoni, Enrico Franceschi, R. Agati, L. Morandi, A. Andreoli, V. Mazzocchi, and Stefania Bartolini
- Subjects
Oncology ,Cancer Research ,medicine.medical_specialty ,business.industry ,Internal medicine ,medicine ,Newly diagnosed ,Mgmt methylation ,business ,medicine.disease ,Surgery ,Glioblastoma - Published
- 2009
42. Change in MGMT methylation status between first and second surgery for recurrence: Clinical implications
- Author
-
Alicia Tosoni, V. Mazzocchi, Enrico Franceschi, Stefania Bartolini, Luca Morandi, Alvaro Andreoli, Raffaele Agati, A. Fioravanti, Aa Brandes, and M. Ermani
- Subjects
Cancer Research ,medicine.medical_specialty ,Temozolomide ,business.industry ,medicine.medical_treatment ,medicine.disease ,Disease course ,Surgery ,Radiation therapy ,Oncology ,Histological diagnosis ,Medicine ,Epigenetics ,Mgmt methylation ,business ,neoplasms ,Adjuvant ,medicine.drug ,Glioblastoma - Abstract
2027 Background: MGMT promoter methylation status is known to be a potent prognostic factor in newly diagnosed glioblastoma (GBM) patients (pts). However, it is not yet clear whether and, if so, how MGMT methylation status may change; nor is it known whether the prognostic role of this epigenetic feature is retained during the disease course. Methods: A retrospective analysis was made using a database of 614 GBM pts treated prospectively from January 2000 to August 2008. We evaluated only patients who met the following inclusion criteria: age ≥18; PS 0–2; two distinct surgical procedures; histological diagnosis of GBM both at first and at second surgery for recurrence; postoperative treatment consisting of: a) radiotherapy (RT) followed by temozolomide (TMZ) until 2005, and b) TMZ concurrent with and adjuvant to RT after 2005; a time interval ≥3 month between first and second surgery. The study aim was to evaluate changes of MGMT status during the course of GBM. The log-rank test was employed to evaluate the significance of the prognostic variables. The percentages of MGMT methylated cases at first and second surgery were compared using the McNemar test. Results: MGMT status, evaluated at first and second surgery in all 44 pts (M:F 32:12, median age: 49 years, range: 27–67), was assessable in 38 (86.4%) cases: MGMT promoter was methylated in 13 (34.2%) pts at first surgery. MGMT methylation status, unchanged in 63.2% of second surgery samples, changed more frequently in methylated than in unmethylated pts (61.5% vs 24%, p = 0.03). The median survival was 24.3 months (95% CI: 20.8–27.7), being 35.2 months (95% CI: 10.1–60.2) and 21.9 months (95% CI: 17.3–26.5) for pts with methylated and unmethylated MGMT assessed at first surgery, respectively (p = 0.04). However, MGMT status at second surgery was no longer prognostic for survival (p = 0.1). Conclusions: Significant changes in MGMT methylation status during the course of GBM occur more frequently in MGMT methylated than unmethylated cases. Moreover, while MGMT methylation status is prognostic at first surgery, it appears to be of no prognostic utility at the time of second surgery. No significant financial relationships to disclose.
- Published
- 2009
43. Recurrence pattern after concomitant radio-chemotherapy in newly diagnosed glioblastoma patients: Correlation with MGMT promoter methylation status
- Author
-
V. Mazzocchi, Stefania Bartolini, V. Blatt, Aa Brandes, Alicia Tosoni, F. Benevento, Enrico Franceschi, L. Scopece, F. Ruggeri, and Luca Morandi
- Subjects
Oncology ,Cancer Research ,medicine.medical_specialty ,Pathology ,Temozolomide ,business.industry ,medicine.medical_treatment ,Standard treatment ,Newly diagnosed ,medicine.disease ,nervous system diseases ,Radiation therapy ,Internal medicine ,Concomitant ,medicine ,business ,Adjuvant ,medicine.drug ,Glioblastoma ,Radio chemotherapy - Abstract
2027 Background: Temozolomide (TMZ), concomitant with and adjuvant to radiotherapy (RT), has become the standard treatment for newly diagnosed glioblastoma (GBM). The aim of the present analysis wa...
- Published
- 2008
44. Biweekly (BW) chemotherapy (CHT) with oxaliplatin (OHP), irinotecan (CPT11), infusional 5-fluorouracil/folinic acid (FU/FA) in patients (pts) with metastatic colorectal cancer (MCRC) pretreated with CPT11- or OHP-based CHT
- Author
-
S. Del Buono, B. Giglioni, S. Nobili, Enrico Mini, V. Mazzocchi, Teresita Mazzei, and F. Filippelli
- Subjects
Oncology ,Cancer Research ,medicine.medical_specialty ,Chemotherapy ,Colorectal cancer ,business.industry ,medicine.medical_treatment ,medicine.disease ,Oxaliplatin ,Irinotecan ,Folinic acid ,Fluorouracil ,Internal medicine ,medicine ,In patient ,business ,medicine.drug - Abstract
3751 Background:CPT11 or OHP in combination with infusional FU/FA induce objective responses (OR) in MCRC pts treated with the opposite combination. However, second-line response rates are still lo...
- Published
- 2004
45. Pattern of care and effectiveness of treatment for glioblastoma patients in the real world: Results from a prospective population-based registry. Could survival differ in a high-volume center?
- Author
-
Brandes AA, Franceschi E, Ermani M, Tosoni A, Albani F, Depenni R, Faedi M, Pisanello A, Crisi G, Urbini B, Dazzi C, Cavanna L, Mucciarini C, Pasini G, Bartolini S, Marucci G, Morandi L, Zunarelli E, Cerasoli S, Gardini G, Lanza G, Silini EM, Cavuto S, Baruzzi A, Baruzzi A, Albani F, Calbucci F, D'Alessandro R, Michelucci R, Brandes A, Eusebi V, Ceruti S, Fainardi E, Tamarozzi R, Emiliani E, Cavallo M, Franceschi E, Tosoni A, Cavallo M, Fiorica F, Valentini A, Depenni R, Mucciarini C, Crisi G, Sasso E, Biasini C, Cavanna L, Guidetti D, Marcello N, Pisanello A, Cremonini AM, Guiducci G, de Pasqua S, Testoni S, Agati R, Ambrosetto G, Bacci A, Baldin E, Baldrati A, Barbieri E, Bartolini S, Bellavista E, Bisulli F, Bonora E, Bunkheila F, Carelli V, Crisci M, Dall'Occa P, de Biase D, Ferro S, Franceschi C, Frezza G, Grasso V, Leonardi M, Marucci G, Mazzocchi V, Morandi L, Mostacci B, Palandri G, Pasini E, Pastore Trossello M, Pession A, Ragazzi M, Riguzzi P, Rinaldi R, Rizzi S, Romeo G, Spagnolli F, Tinuper P, Trocino C, Cerasoli S, Dall'Agata M, Faedi M, Frattarelli M, Gentili G, Giovannini A, Iorio P, Pasquini U, Galletti G, Guidi C, Neri W, Patuelli A, Strumia S, Casmiro M, Gamboni A, Rasi F, Cruciani G, Cenni P, Dazzi C, Guidi A, Zumaglini F, Amadori A, Pasini G, Pasquinelli M, Pasquini E, Polselli A, Ravasio A, Viti B, Sintini M, Ariatti A, Bertolini F, Bigliardi G, Carpeggiani P, Cavalleri F, Meletti S, Nichelli P, Pettorelli E, Pinna G, Zunarelli E, Artioli F, Bernardini I, Costa M, Greco G, Guerzoni R, Stucchi C, Iaccarino C, Rizzi R, Zuccoli G, Api P, Cartei F, Fallica E, Granieri E, Latini F, Lelli G, Monetti C, Ramponi V, Saletti A, Schivalocchi R, Seraceni S, Tola MR, Urbini B, Giorgi C, Montanari E, Cerasti D, Crafa P, Dascola I, Florindo I, Mazza S, Servadei F, Silini E, Torelli P, Immovilli P, Morelli N, and Vanzo C
- Abstract
Background: As yet, no population-based prospective studies have been conducted to investigate the incidence and clinical outcome of glioblastoma (GBM) or the diffusion and impact of the current standard therapeutic approach in newly diagnosed patients younger than aged 70 years., Methods: Data on all new cases of primary brain tumors observed from January 1, 2009, to December 31, 2010, in adults residing within the Emilia-Romagna region were recorded in a prospective registry in the Project of Emilia Romagna on Neuro-Oncology (PERNO). Based on the data from this registry, a prospective evaluation was made of the treatment efficacy and outcome in GBM patients., Results: Two hundred sixty-seven GBM patients (median age, 64 y; range, 29-84 y) were enrolled. The median overall survival (OS) was 10.7 months (95% CI, 9.2-12.4). The 139 patients ≤aged 70 years who were given standard temozolomide treatment concomitant with and adjuvant to radiotherapy had a median OS of 16.4 months (95% CI, 14.0-18.5). With multivariate analysis, OS correlated significantly with KPS (HR = 0.458; 95% CI, 0.248-0.847; P = .0127), MGMT methylation status (HR = 0.612; 95% CI, 0.388-0.966; P = .0350), and treatment received in a high versus low-volume center (HR = 0.56; 95% CI, 0.328-0.986; P = .0446)., Conclusions: The median OS following standard temozolomide treatment concurrent with and adjuvant to radiotherapy given to (72.8% of) patients aged ≤70 years is consistent with findings reported from randomized phase III trials. The volume and expertise of the treatment center should be further investigated as a prognostic factor.
- Published
- 2014
- Full Text
- View/download PDF
46. Electrical safety during transplantation.
- Author
-
Amicucci GL, Di Lollo L, Fiamingo F, Mazzocchi V, Platania G, Ranieri D, Razzano R, Camin G, Sebastiani G, and Gentile P
- Subjects
- Electric Injuries prevention & control, Fires prevention & control, Humans, Safety Management methods, Electricity, Safety, Transplantation standards
- Abstract
Technologic innovations enable management of medical equipment and power supply systems, with improvements that can affect the technical aspects, economics, and quality of medical service. Herein are outlined some technical guidelines, proposed by Istituto Superiore per la Prevenzione e la Sicurezza del Lavoro, for increasing the effectiveness of the power supply system and the safety of patients and surgeons in the operating room, with particular focus on transplantation. The dependence of diagnoses and therapies on operation of the electrical equipment can potentially cause great risk to patients. Moreover, it is possible that faulty electrical equipment could produce current that may flow through the patient. Because patients are particularly vulnerable when their natural protection is considerably decreased, as during transplantation or other surgery, power supply systems must operate with a high degree of reliability and quality to prevent risk, and must be designed to reduce hazards from direct and indirect contact. Reliability of the power supply system is closely related to the quality of the project, choice of materials, and management of the system (eg, quality and frequency of servicing). Among the proposed guidelines, other than normal referencing, are (1) adoption of a monitoring system to improve the quality of the electrical parameters in the operating room, (2) institution of emergency procedures for management of electrical faults, (3) a procedure for management of fires in the operating room, (4) and maintenance interventions and inspections of medical devices to maintain minimal requirements of safety and performance., (Copyright 2010 Elsevier Inc. All rights reserved.)
- Published
- 2010
- Full Text
- View/download PDF
47. Temozolomide concomitant and adjuvant to radiotherapy in elderly patients with glioblastoma: correlation with MGMT promoter methylation status.
- Author
-
Brandes AA, Franceschi E, Tosoni A, Benevento F, Scopece L, Mazzocchi V, Bacci A, Agati R, Calbucci F, and Ermani M
- Subjects
- Aged, Antineoplastic Agents, Alkylating adverse effects, Chemotherapy, Adjuvant, Combined Modality Therapy, Dacarbazine administration & dosage, Dacarbazine adverse effects, Disease-Free Survival, Female, Humans, Male, Promoter Regions, Genetic, Survival Rate, Temozolomide, Antineoplastic Agents, Alkylating administration & dosage, Brain Neoplasms drug therapy, Brain Neoplasms genetics, Brain Neoplasms radiotherapy, DNA Methylation, Dacarbazine analogs & derivatives, Glioblastoma drug therapy, Glioblastoma genetics, Glioblastoma radiotherapy, O(6)-Methylguanine-DNA Methyltransferase genetics
- Abstract
Background: A recent randomized study conducted on newly diagnosed glioblastoma (GBM) patients demonstrated that concomitant and adjuvant temozolomide added to standard radiotherapy had a survival advantage compared with radiotherapy alone. The overall survival benefit of this aggressive treatment, however, was attenuated in older or poor performance status patients. The aim of the present study was to verify the activity and the toxicity of temozolomide administration concurrent and adjuvant to radiotherapy as first-line treatment for elderly GBM patients, and to explore correlations between clinical outcome and O6 methylguanine-DNA methyltransferase (MGMT) promoter methylation status., Methods: Newly diagnosed GBM patients>or=65 years were considered eligible. Treatment comprised radiotherapy (60 Gy in 30 fractions over 6 weeks) plus continuous daily temozolomide (75 mg/m2/day), followed by 12 maintenance temozolomide cycles (150 mg/m2 once a day for 5 consecutive days every 28 days) if MRI showed no enhancement suggesting a tumor; otherwise, chemotherapy was delivered until complete response or unequivocal progression., Results: A total of 58 patients (34 males; median age, 68 years; range, 65-82 years) were enrolled. Sixteen patients (43%) presented MGMT promoter methylated and 21 unmethylated (57%) status. The median progression-free survival and median survival time (MST) were 9.5 months (95% confidence interval [CI], 8.6-10.5) and 13.7 months (95% CI, 10-17.3 months), respectively. Mental status deterioration grade 3-4 was detected in 25% of patients. Leukoencephalopathy was diagnosed in 10% of patients., Conclusions: The overall and progression-free survival of patients given concomitant and adjuvant temozolomide are greater than in those given radiotherapy alone; however, this regimen incurs a greater deterioration in mental status. Further randomized trials should, therefore, be conducted to investigate the efficacy and against the toxicity of this regimen as first-line therapy in patients with GBM., (Copyright (c) 2009 American Cancer Society.)
- Published
- 2009
- Full Text
- View/download PDF
48. Treatment options for recurrent glioblastoma: pitfalls and future trends.
- Author
-
Franceschi E, Tosoni A, Bartolini S, Mazzocchi V, Fioravanti A, and Brandes AA
- Subjects
- Combined Modality Therapy, Humans, Brain Neoplasms therapy, Glioblastoma therapy, Neoplasm Recurrence, Local therapy
- Abstract
Standard treatment with temozolomide and radiotherapy for patients with newly diagnosed glioblastoma has increased the median overall survival and, more importantly, the 2-year survival rate of patients. However, as yet, no investigations have been conducted to define effective strategies against recurrence, which occurs in most patients following combined radiotherapy/temozolomide treatment. Furthermore, in recent years, new issues have emerged regarding the evaluation of disease response, and also with the identification of patterns such as pseudoprogression, frequently indistinguishable from real disease progression. New therapeutic strategies, such as targeted therapies and anti-angiogenic treatments that appear promising with regard to improving the results at the time of recurrence are discussed.
- Published
- 2009
- Full Text
- View/download PDF
49. Bimonthly chemotherapy with oxaliplatin, irinotecan, infusional 5-fluorouracil/folinic acid in patients with metastatic colorectal cancer pretreated with irinotecan- or oxaliplatin-based chemotherapy.
- Author
-
Nobili S, Checcacci D, Filippelli F, Del Buono S, Mazzocchi V, Mazzei T, and Mini E
- Subjects
- Adenocarcinoma mortality, Adenocarcinoma pathology, Adult, Aged, Antineoplastic Combined Chemotherapy Protocols adverse effects, Camptothecin administration & dosage, Camptothecin adverse effects, Camptothecin analogs & derivatives, Colorectal Neoplasms mortality, Colorectal Neoplasms pathology, Female, Fluorouracil administration & dosage, Fluorouracil adverse effects, Humans, Irinotecan, Kaplan-Meier Estimate, Leucovorin administration & dosage, Leucovorin adverse effects, Male, Middle Aged, Neoplasm Recurrence, Local drug therapy, Organoplatinum Compounds administration & dosage, Organoplatinum Compounds adverse effects, Oxaliplatin, Adenocarcinoma drug therapy, Antineoplastic Combined Chemotherapy Protocols administration & dosage, Colorectal Neoplasms drug therapy, Drug Resistance, Neoplasm drug effects, Salvage Therapy methods
- Abstract
This study was conducted to assess the tolerability and efficacy of a ternary bimonthly irinotecan (CPT-11) - oxaliplatin (OHP) - infusional 5-fluorouracil (5-FU)/folinic acid (FA) combination in advanced colorectal cancer patients who had received prior CPT-11 and/or OHP-based chemotherapy regimen. Colorectal cancer patients were given bimonthly CPT-11 as a 90-min infusion, followed by OHP (85 mg/m(2)), FA (200 mg/m(2)) 2-h infusions and 5-FU (48-h infusion). CPT-11 and 5-FU doses were escalated as reported below. 26 patients were recruited. Fourteen patients had received a prior CPT-11-, 6 patients a prior OHP-based chemotherapy regimen and 6 patients both regimens. Three dose levels were investigated: CPT-11 100, 120 and 140 mg/m(2) and 5-FU 1500, 1800 and 2100 mg/m(2) in 6, 12 and 8 patients, respectively. All patients were evaluable for toxicity, 24 for antitumor activity. At all dose levels toxicity was acceptable. Grade 4 toxicity occurred in two patients only (neutropenia in one case and stomatitis in another one, 3.8%). Grade 3 toxicities included nausea and vomiting (34.6%), asthenia (26.9%), neurosensory toxicity (15.4%), neutropenia (3.8%) and diarrhea (3.8%). Hematological toxicity was infrequent and generally mild. At the third dose level, a higher, although not significantly different incidence of hematological and neurosensory toxicity (both occurring in 62.5% of cases, all grades) was observed compared to the other two, while nausea and vomiting were significantly less frequent (37.5% vs 100%). Overall, we observed 2 complete responses, 9 partial responses (OR 45.8%), 8 stable disease (33.3%), and 5 disease progression (20.8%). Median overall survival was 18 months and median time-to-progression 5.5 months. This combination showed moderate toxicity and promising antitumor activity in CPT-11 and/or OHP pretreated colorectal cancer patients. The second dose level using CPT-11 at 120 mg/m(2) and 5-FU at 1800 mg/m(2) is recommended for further phase II studies in this patient population.
- Published
- 2008
- Full Text
- View/download PDF
50. Transition of polycythemia vera to chronic myeloid leukaemia.
- Author
-
Saviola A, Fiorani C, Ferrara L, Mazzocchi V, Zucchini P, Temperani P, Longo G, Emilia G, and Torelli G
- Subjects
- Aged, Female, Humans, Hydroxyurea therapeutic use, Leukemia, Myelogenous, Chronic, BCR-ABL Positive drug therapy, Leukemia, Myelogenous, Chronic, BCR-ABL Positive pathology, Polycythemia Vera pathology
- Abstract
A 77-year-old female with polycythemia vera (PV) showed a sudden, typical chronic myeloid leukaemia (CML), 8 yr after the initial diagnosis, and an intermittent treatment with hydroxyurea (0.5-1 g/d) and phlebotomies. At PV diagnosis, the Ph chromosome was negative and no bcr-abl rearrangement was observed; they were both revealed positive at CML onset. Transition of PV to CML is very rare; only seven substantiated cases had been reported in the literature up until now (six from 1964 to 1993). All patients but one received (32)P or alkylating agents for PV treatment. The pathogenetic mechanisms are briefly discussed., (Copyright Blackwell Munksgaard 2005.)
- Published
- 2005
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.