11 results on '"Vy Thi Hoang Nguyen"'
Search Results
2. Challenges for spacer and source/drain cavity patterning in CFET devices
- Author
-
Geert Mannaert, Hans Mertens, Maryam Hosseini, Steven Demuynck, Vy Thi Hoang Nguyen, B.T. Chan, and Frédéric Lazzarino
- Published
- 2023
- Full Text
- View/download PDF
3. Nanometer-scale photon confinement inside dielectrics
- Author
-
Søren Engelberth Hansen, Jesper Moerk, Rasmus E. Christiansen, Søren Stobbe, Babak Vosoughi Lahijani, Marcus Albrechtsen, Nicolas Stenger, Laura Casses, Vy Thi Hoang Nguyen, Henri Jansen, and Ole Sigmund
- Subjects
Quantum technology ,Diffraction ,Physics ,Photon ,Semiconductor ,Orders of magnitude (time) ,business.industry ,Physics::Optics ,Optoelectronics ,Semiconductor device ,Electron ,Dielectric ,business - Abstract
Optical nanocavities confine and store light, which is essential to increase the interaction between photons and electrons in semiconductor devices, enabling, e.g., lasers and emerging quantum technologies. While temporal confinement has improved by orders of magnitude over the past decades, spatial confinement inside dielectrics was until recently believed to be bounded at the diffraction limit. The conception of dielectric bowtie cavities (DBCs) shows a path to photon confinement inside semiconductors with mode volumes bound only by the constraints of materials and nanofabrication, but theory was so far misguided by inconsistent definitions of the mode volume and experimental progress has been impeded by steep nanofabrication requirements. Here we demonstrate nanometer-scale photon confinement inside 8 nm silicon DBCs with an aspect ratio of 30, inversely designed by fabrication-constrained topology optimization. Our cavities are defined within a compact device footprint of 4 lambda^2 and exhibit mode volumes down to V = 3E-4 lambda^3 with wavelengths in the lambda = 1550 nm telecom band. This corresponds to field localization deep below the diffraction limit in a single hotspot inside the dielectric. A crucial insight underpinning our work is the identification of the critical role of lightning-rod effects at the surface. They invalidate the common definition of the mode volume, which is prone to gauge meretricious surface effects or numerical artefacts rather than robust confinement inside the dielectric. We use near-field optical measurements to corroborate the photon confinement to a single nanometer-scale hotspot. Our work enables new CMOS-compatible device concepts ranging from few- and single-photon nonlinearities over electronics-photonics integration to biosensing.
- Published
- 2021
- Full Text
- View/download PDF
4. Cr and CrOx etching using SF6 and O2 plasma
- Author
-
Jörg Hübner, Flemming Jensen, Henri Jansen, Vy Thi Hoang Nguyen, Pele Leussink, Kechun Ma, Wim De Malsche, Roy Cork, Evgeniy Shkondin, Department of Bio-engineering Sciences, Chemical Engineering and Industrial Chemistry, and Chemical Engineering and Separation Science
- Subjects
Materials science ,Analytical chemistry ,chemistry.chemical_element ,Chromium ,Etching (microfabrication) ,fluorine ,Materials Chemistry ,etching ,Electrical and Electronic Engineering ,Plasma processing ,Instrumentation ,plasma processing ,Process Chemistry and Technology ,photomasks ,Plasma ,Electronic, Optical and Magnetic Materials ,Surfaces, Coatings and Films ,NANOFABRICATION ,Oxygen ,Nanolithography ,chemistry ,Undercut ,chromium ,Photomask ,Selectivity ,silicon compounds - Abstract
Chromium is a frequently encountered material in modern nanofabrication, directly as a functional material (e.g., photomask generation) or indirectly as a hard mask (e.g., to etch quartz). With the continuous downscaling of devices, the control of the feature size of patterned Cr and CrOx becomes increasingly important. Cr and CrOx etching is typically performed using chlorine-oxygen-based plasma chemistries, but the nanoscale imposes limitations. In this work, directional etching is demonstrated for the first time using fluorine-oxygen-based plasma. Two cases are studied to demonstrate the Cr etch performance: (i) a plasma mixture of SF6 + O2 and (ii) a switching SF6/O2 procedure in which the plasmas are used sequentially. The proposed mixture performs with Cr etch rates (ERs) up to 400 nm/min at 300 W platen power and is highest when the SF6/O2 gas ratio is ∼0.75%, i.e., almost pure O2 plasma. The profile shows reasonable directionality but the etch selectivity is low, less than 5 toward Si, due to the high generated self-bias of 420 V. The selectivity of the plasma mixture can be improved at a lower plasma power, but this is accompanied with considerable undercut. The etching of CrOx proceeds without the need for O2 in the feed, and, therefore, the ER can reach much higher values (beyond 2000 nm/min at 300 W). As the plasma mixture seems to be inadequate, a sequential process is studied with improved selectivity while preserving directionality. The high selectivity is achieved by using relatively low plasma power (to ensure a low self-bias) and the directionality is due to the time separation of the SF6 and O2 plasmas and a controlled directional removal of CrFx etch inhibiting species. Using such a switched procedure at 30 W plasma power, a selectivity beyond 20 with good profile directionality is achieved and having an etch rate of ∼1 nm per cycle (or 7 nm/min).
- Published
- 2021
- Full Text
- View/download PDF
5. On the formation of black silicon in SF6-O2plasma
- Author
-
Vy Thi Hoang Nguyen, Flemming Jensen, Jörg Hübner, Henri Jansen, and Pele Leussink
- Subjects
Plasma etching ,Materials science ,Silicon ,Passivation ,business.industry ,Black silicon ,Oxide ,chemistry.chemical_element ,Surfaces and Interfaces ,Condensed Matter Physics ,n/a OA procedure ,Surfaces, Coatings and Films ,chemistry.chemical_compound ,chemistry ,Etching (microfabrication) ,Optoelectronics ,Undercut ,business ,Layer (electronics) - Abstract
Black silicon (BSi or silicon micro/nanograss) is a frequently encountered phenomenon in highly directional etching of silicon using mainstream plasma etch tools. The appearance of BSi in most studies is considered to be caused by micromasks unintentionally present on the silicon surface that locally prevent silicon from etching. Particularly, under highly directional and selective plasma etch conditions, these chaotically arranged micromasks develop into tall grasslike structures that will absorb incoming light and make the etched silicon appear black. There are many different sources that might contribute to the formation of BSi. Most of them can be prevented by proper pretreatment of the surface and careful control of the etch parameters. However, the masking related to the in situ plasma passivation (typically FC- or O-species) and insufficient ion etching of this layer causing residues at horizontal surfaces remains a resilient issue that is difficult to control or predict. This study is built on a recently developed highly directional etch procedure called CORE (meaning Clear, Oxidize, Remove, and Etch) in which the usual FC inhibitor of the Bosch process is replaced by oxygen. Due to the self-limiting property of the oxidation step, the formation and controllability of BSi in the CORE sequence is different from how BSi presents itself in the FC-based sequences. In this work, the effects of different process parameters on the creation of masks and formation of BSi are carefully investigated. The authors show that the time in the removal (R) step of the passivating oxide layer in tight combination with the undercut time in the isotropic etch (E) step are the most important parameters to consider. By manipulating these two parameters and utilizing the self-limiting property of the oxidation (O) step, the CORE process can easily be modified to create either BSi-full or BSi-free surfaces independent of the aspect ratio of the etching features. The latter distinguishes the BSi formation clearly from other directional processes. The proposed CORE process thus provides the authors a versatile tool for creating BSi anywhere anytime or—as we call it—“BSi on Demand.”
- Published
- 2020
- Full Text
- View/download PDF
6. The core sequence: A nanoscale fluorocarbon-free silicon plasma etch process based on SF6/O2 cycles with excellent 3D profile control at room temperature
- Author
-
Roy Cork, Henri Jansen, Peixiong Shi, Flemming Jensen, Meint J. de Boer, Vy Thi Hoang Nguyen, Pele Leussink, Chantal Silvestre, Kechun Ma, and Jörg Hübner
- Subjects
Plasma etching ,Materials science ,Silicon ,business.industry ,Flow (psychology) ,UT-Hybrid-D ,22/2 OA procedure ,chemistry.chemical_element ,Electronic, Optical and Magnetic Materials ,Core (optical fiber) ,chemistry ,Etching (microfabrication) ,Optoelectronics ,Fluorocarbon ,Selectivity ,business ,Nanoscopic scale - Abstract
This study focuses on the development of a fluorocarbon-free directional silicon etching process, called CORE (Clear, Oxidize, Remove, and Etch) in which a switching sequence of SF6 and O2 is operated at room temperature. This distinguishes it from the old-fashioned room temperature and cryogenic mixed RIE processes as CORE enables a higher selectivity, creates pattern independency of etching profiles and works excellent at room temperature. The CORE process resembles the well-known SF6-based Bosch process, but the usual C4F8 inhibitor is replaced by O2 oxidation with self-limiting characteristics. Therefore the CORE result is similar to Bosch, however has the advantage of preventing the pile-up of fluorocarbon deposits at the topside of deep-etched or nano-sized features. At the same time, process drift is minimized as the reactor wall is staying perfectly clean. The CORE process has shown an excellent performance in high aspect ratio (3D) nanoscale structures with an accurate and controllable etch rate between 1 and 50 nm min−1 (and SiO2-selectivity of ca. 35) using the etch-tool in the RIE-mode. By adding the ICP source (DRIE-mode), a directional etch rate up to 1 μm min−1 (at 50 sccm SF6 flow) and selectivity >200 for SiO2 is possible.
- Published
- 2020
- Full Text
- View/download PDF
7. Deep reactive ion etching of ‘grass-free’ widely-spaced periodic 2D arrays, using sacrificial structures
- Author
-
Chantal Silvestre, Henri Jansen, Vy Thi Hoang Nguyen, and Ole Hansen
- Subjects
Materials science ,Silicon ,Optical profilometry ,Periodic structures ,chemistry.chemical_element ,High aspect ratio ,02 engineering and technology ,Surface finish ,ARDE ,01 natural sciences ,Silicon patterning ,0103 physical sciences ,Deep reactive-ion etching ,Electrical and Electronic Engineering ,010302 applied physics ,Plasma etching ,Aspect ratio (aeronautics) ,Hexagonal crystal system ,business.industry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Sacrificial structures ,chemistry ,Optoelectronics ,0210 nano-technology ,business - Abstract
We fabricated two-dimensional arrays of periodic, widely-spaced pillars using deep reactive ion etching of silicon. To avoid the formation of micro-grass in the large open areas we used sacrificial structures surrounding the widely-spaced pillars. The use of sacrificial structures results in a denser pattern where the formation of grass is less likely to happen. We were able to remove the sacrificial structures without damaging the main array of pillars by using a modified Bosch process. The roughness remaining after removal of the sacrificial structures was evaluated using optical profilometry. Using this method, we were able to pattern grass-free arrays of widely-spaced 12 μm diameter pillars of 9:1 aspect ratio, with hexagonal and square distributions.
- Published
- 2020
- Full Text
- View/download PDF
8. Ultrahigh aspect ratio etching of silicon in SF6-O2 plasma: The clear-oxidize-remove-etch (CORE) sequence and chromium mask
- Author
-
Flemming Jensen, Vy Thi Hoang Nguyen, Jörg Hübner, Henri Jansen, Evgeniy Shkondin, and Pele Leussink
- Subjects
Fabrication ,Plasma etching ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Surfaces and Interfaces ,Condensed Matter Physics ,Aspect ratio (image) ,n/a OA procedure ,Surfaces, Coatings and Films ,Atomic layer deposition ,chemistry ,Etching (microfabrication) ,Optoelectronics ,Undercut ,business ,Nanopillar - Abstract
Getting high aspect ratio (HAR) structures is a frequent request in directional etching of silicon using mainstream plasma tools. HAR features are useful either directly (e.g., photonic devices) or as a template for constructing more complicated structures (e.g., metamaterials). The latter is possible by adding postetch procedures such as atomic layer deposition. In this study, a procedure to fabricate ultra-HAR nanofeatures is demonstrated. It is built on a recently developed highly directional plasma etch procedure operating at room temperature called CORE (meaning clear, oxidize, remove, and etch) in which the usual fluorocarbon (FC) inhibitor of the Bosch process is replaced by oxygen. The effect of different CORE parameters on the etch rate and profile is investigated and optimized with respect to low mask undercut and high directionality. Due to the self-limiting property of the oxidation step, the CORE sequence is different from FC-based sequences, particularly concerning what type of etch mask is preferable. We show that 60 nm of chromium masking is well suited for ultra-HAR etching without complicating the plasma process or compromising the overall fabrication procedure. The nanopillar arrays (200 nm diameter, 400 nm pitch and 60 nm diameter, 500 nm pitch) have smooth straight sidewalls with aspect ratios beyond 55 for gaps and up to 200 for pillars. Due to the very mild plasma condition (less than 40 W RIE power), the mask selectivity with respect to silicon can be tuned above 500. In addition, the clean operation of the CORE sequence (no FC pileup as is typical in the Bosch process) prevents time-consuming profile tuning and enables process freedom and reproducibility.
- Published
- 2020
- Full Text
- View/download PDF
9. The CORE Sequence: A Nanoscale Fluorocarbon-Free Silicon Plasma Etch Process Based on SF6/O2 Cycles with Excellent 3D Profile Control at Room Temperature.
- Author
-
Vy Thi Hoang Nguyen, Silvestre, Chantal, Peixiong Shi, Cork, Roy, Jensen, Flemming, Hubner, Jorg, Ma, Kechun, Leussink, Pele, Boer, Meint de, and Jansen, Henri
- Published
- 2020
- Full Text
- View/download PDF
10. Shot-filling effects in nanometer-scale electron-beam lithography
- Author
-
Marcus Albrechtsen, Babak Vosoughi Lahijani, Rasmus Ellebæk Christiansen, Vy Thi Hoang Nguyen, Laura Casses, Søren Engelberth Hansen, Philip Trøst Kristensen, Nicolas Stenger, Ole Sigmund, henri jansen, Jesper Moerk, and Søren Stobbe
- Abstract
Photonic nanocavities achieve tight temporal and spatial confinement of light through the quality factor, Q, and the mode volume, V, respectively. This results in local enhancements of the electric field, E, which is central to a number of applications requiring enhanced light-matter interaction [1], such as nonlinearities [2] or efficient optical interconnects [3]. Previously, it was believed that the mode volume in dielectrics was bound by the diffraction limit [4], and therefore field enhancements were achieved by large quality factors [5]. With the recent discovery of dielectric bowtie cavities, however, mode volumes deep below the diffraction limit are possible in devices with nanometer-scale features [2,6]. Such features, in turn, pose challenges to the resolution of fabrication at the deep nanoscale. Here we investigate the importance of precise pattern design and the effects of rasterization (shot-filling) in electron-beam lithography when pushing the resolution limit. We consider a novel nanocavity design obtained by inverse design using tolerance-constrained topology optimization [6] in which the local density of optical states (LDOS) is optimized at the very center of a silicon cavity to have Q = 1100, V = 0.08 (λ/2n)3, and λ = 1551 nm. To illustrate the importance of shot-filling for high-resolution electron-beam lithography, we first consider the test structure shown in Fig. 1. The contours between material boundaries are used to define a set of polygons as shown in Fig. 1a. Individual patterns are well separated to be isolated from long-range proximity effects [7]. Figures 1b and d show the fracturing of the polygon as well as the discretization into individual shots separated by a pitch, p, and exposed with a uniform dose density, D. This means that the impinging charge dose of each shot (in coulomb) is q = p2D. Electron-scattering through the material broadens the point-like exposures along with the other process steps, here development and etching, to yield an effective deposited dose density, Deff, shown on the grayscale map in Figs. 1c and e [7-8]. The regions that receive an effective dose density greater than the dose to clear, D0, will be developed as indicated by the green contour. Figure 1c, shows visible line-edge roughness caused by the coarse discretization and poor dose uniformity, which, for a cavity, can cause substantial optical loss through scattering (reduction in Q) [5], while the finer discretization in Fig. 1e produces much smoother edges and a higher fidelity in the pattern transfer due to the finer discretization. Figure 2 shows three nanocavities fabricated with the same process on the same chip where the current, and therefore pitch, is varied. Already when the pitch is increased from 1 nm to 3.5 nm, several of the small features cannot be resolved, and with p = 6 nm the central part becomes disconnected, thus charging up under SEM inspection. We will report on our latest progress towards realizing structures with extreme confinement of light.
11. Fabrication and Characterization of Topology-Optimized Photonic Cavities with Deep Subwavelength Confinement
- Author
-
Søren Stobbe, Jesper Mørk, Henri Jansen, Vy Thi Hoang Nguyen, Marcus Albrechtsen, Ole Sigmund, Rasmus E. Christiansen, and B. Vosoughi Lahijani
- Subjects
Mode volume ,Fabrication ,Materials science ,Silicon ,business.industry ,Nanophotonics ,chemistry.chemical_element ,Fano resonance ,chemistry ,Optoelectronics ,Photonics ,business ,Topology (chemistry) ,Electron-beam lithography - Abstract
We design, fabricate, and characterize an ultracompact (2) photonic nanocavity in silicon with a deep subwavelength mode volume, which enables strongly enhanced light-matter interaction for applications in nanolasers and nonlinear nanophotonics.
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.