Search

Your search keyword '"Self-aligned gate"' showing total 164 results

Search Constraints

Start Over You searched for: Descriptor "Self-aligned gate" Remove constraint Descriptor: "Self-aligned gate" Database OpenAIRE Remove constraint Database: OpenAIRE
164 results on '"Self-aligned gate"'

Search Results

1. Materials Technology Co-Optimization of Self-Aligned Gate Contact for Advanced CMOS Technology Nodes

2. GaN Nanowire Field Emitters with a Self-Aligned Gate Process

3. Self-aligned gate-last process for quantum-well InAs transistor on insulator

4. Self-Aligned, Gate Last, FDSOI, Ferroelectric Gate Memory Device With 5.5-nm Hf0.8Zr0.2O2, High Endurance and Breakdown Recovery

5. Self-Aligned Gate Thin-Channel β-Ga2O3MOSFETs

6. Chip Variability Mitigation through Continuous Diffusion Enabled by EUV and Self-Aligned Gate Contact

7. A Self-Aligned Gate-Last Process Applied to All-III–V CMOS on Si

8. Improving graphene non‐volatile memory using self‐aligned gate

9. Design, Fabrication and Characterization of Molybdenum Field Emitter Arrays (Mo-FEAs)

10. Low track height standard cell design in iN7 using scaling boosters

11. Self‐aligned gate‐last enhancement‐ and depletion‐mode AlN/GaN MOSHEMTs on Si

12. Analysis of Kink Effect and Short Channel Effects in Fully Self-Aligned Gate Overlapped Lightly Doped Drain Polysilicon TFTs

13. MOS Transistor Operation and Integrated Circuit Fabrication

14. Impact of SiNx capping on the formation of source/drain contact for In-Ga-Zn-O thin film transistor with self-aligned gate

15. High-Performance High-$K$/Metal Planar Self-Aligned Gate-All-Around CMOS Devices

16. Characteristics of Self-Aligned Gate-First Ge p- and n-Channel MOSFETs Using CVD $\hbox{HfO}_{2}$ Gate Dielectric and Si Surface Passivation

18. Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si

19. 50-nm Self-Aligned and 'Standard' T-gate InP pHEMT Comparison: The Influence of Parasitics on Performance at the 50-nm Node

20. Highly manufacturable advanced gate-stack technology for sub-45-nm self-aligned gate-first CMOSFETs

21. High-Frequency Performance of Self-Aligned Gate-Last Surface Channel $\hbox{In}_{0.53}\hbox{Ga}_{0.47}\hbox{As}$ MOSFET

22. Improved lifetime of poly-Si TFTs with a self-aligned gate-overlapped LDD structure

23. High-performance enhancement-mode Al2O3/InAlGaN/GaN MOS high-electron mobility transistors with a self-aligned gate recessing technology

24. Synthesis of titanium nitride for self-aligned gate AlGaN/GaN heterostructure field-effect transistors

25. Self-Aligned-Gate ZnO TFT Circuits

26. High current handling capacity multilayer inductors for RF and microwave circuits

27. Degradation of d.c. parameters in enhancement mode WNx self-aligned gate GaAs MESFETs under high temperature stress

28. Threshold voltage shift in 0.1 μm self-aligned-gate GaAs MESFETs under bias stress and related degradation of ultra-high-speed digital ICs

29. A large-signal model of self-aligned gate GaAs FET's for high-efficiency power-amplifier design

30. A Novel Low-Temperature Polysilicon Thin-Film Transistors With a Self-Aligned Gate and Raised Source/Drain Formed by the Damascene Process

31. A self-aligned gate GaAs MESFET with p-pocket layers for high-efficiency linear power amplifiers

32. A Capless$hboxInP/hboxIn_0.52hboxAl_0.48hboxAs/hboxIn_0.53hboxGa_0.47hboxAs$p-HEMT Having a Self-Aligned Gate Structure

33. Self-aligned emitter power HBT and self-aligned gate power HFET for low/unity supply voltage operation in PHS handsets

34. High-performance 0.1 μm-self-aligned-gate GaAs MESFET technology

35. Self-aligned-gate GaN-HEMTs with heavily-doped n+-GaN ohmic contacts to 2DEG

36. Electrical and chemical characterization of W/sub 1-x-y/Si/sub x/N/sub y/ (0≤x≤0.42, 0≤y≤0.30) Schottky diodes for self-aligned gate GaAs MESFETs

37. Self-aligned-gate AlGaN/GaN heterostructure field-effect transistor with titanium nitride gate

38. Reduction of Short Channel Effects and Hot Carrier Induced Instability in Fully Self-Aligned Gate Overlapped Lightly Doped Drain Polysilicon TFTs

39. A novel laser-processed self-aligned gate-overlapped LDD poly-Si TFT

40. High transconductance self-aligned gate-last surface channel In0.53Ga0.47As MOSFET

41. Deeply-scaled self-aligned-gate GaN DH-HEMTs with ultrahigh cutoff frequency

42. Development of self-aligned T-gate pHEMT technology

43. Self-aligned gate nanopillar In0.53Ga0.47As vertical tunnel transistor

44. 60 nm self-aligned-gate InGaAs HEMTs with record high-frequency characteristics

45. Sub-100 nm channel length graphene transistors

46. 10.3: Nanodiamond vacuum field emission transistor arrays

47. Fabrication and electrical characteristics of self-aligned (SA) gate-all-around (GAA) si nanowire MOSFETs (SNWFET)

48. A novel lift-off process using low-temperature PECVD silicon nitride for the fabrication of self-aligned gate GaAs MESFETs and InP MISFETs

49. 50-nm self-aligned-gate pseudomorphic AlInAs/GaInAs high electron mobility transistors

50. Thermally robust phosphorous nitride interface passivation for InGaAs self-aligned gate-first n-MOSFET integrated with high-k dielectric

Catalog

Books, media, physical & digital resources