Search

Your search keyword '"Self-aligned gate"' showing total 174 results

Search Constraints

Start Over You searched for: Descriptor "Self-aligned gate" Remove constraint Descriptor: "Self-aligned gate"
174 results on '"Self-aligned gate"'

Search Results

1. Synthesis of titanium nitride for self-aligned gate AlGaN/GaN heterostructure field-effect transistors.

2. Materials Technology Co-Optimization of Self-Aligned Gate Contact for Advanced CMOS Technology Nodes

3. GaN Nanowire Field Emitters with a Self-Aligned Gate Process

4. Self-aligned gate-last process for quantum-well InAs transistor on insulator

5. Thermal Sensor Using Poly-Si Thin-Film Transistors With Self-Aligned and Offset Gate Structures.

6. Thermally stable TiN Schottky contact on AlGaN/GaN heterostructure

7. Self-Aligned, Gate Last, FDSOI, Ferroelectric Gate Memory Device With 5.5-nm Hf0.8Zr0.2O2, High Endurance and Breakdown Recovery

8. 50-nm Self-Aligned and "Standard" T-gate InP pHEMT Comparison: The Influence of Parasitics on Performance at the 50-nm Node.

9. Self-Aligned Gate Thin-Channel β-Ga2O3MOSFETs

10. Chip Variability Mitigation through Continuous Diffusion Enabled by EUV and Self-Aligned Gate Contact

11. A Self-Aligned Gate-Last Process Applied to All-III–V CMOS on Si

12. Improving graphene non‐volatile memory using self‐aligned gate

13. Design, Fabrication and Characterization of Molybdenum Field Emitter Arrays (Mo-FEAs)

14. Low track height standard cell design in iN7 using scaling boosters

15. Self‐aligned gate‐last enhancement‐ and depletion‐mode AlN/GaN MOSHEMTs on Si

16. A Novel Low-Temperature Polysilicon Thin-Film Transistors With a Self-Aligned Gate and Raised Source/Drain Formed by the Damascene Process.

17. Analysis of Kink Effect and Short Channel Effects in Fully Self-Aligned Gate Overlapped Lightly Doped Drain Polysilicon TFTs

18. MOS Transistor Operation and Integrated Circuit Fabrication

19. Impact of SiNx capping on the formation of source/drain contact for In-Ga-Zn-O thin film transistor with self-aligned gate

20. High-Performance High-$K$/Metal Planar Self-Aligned Gate-All-Around CMOS Devices

21. Characteristics of Self-Aligned Gate-First Ge p- and n-Channel MOSFETs Using CVD $\hbox{HfO}_{2}$ Gate Dielectric and Si Surface Passivation

23. Self-aligned, gate-last process for vertical InAs nanowire MOSFETs on Si

24. 50-nm Self-Aligned and 'Standard' T-gate InP pHEMT Comparison: The Influence of Parasitics on Performance at the 50-nm Node

25. Highly manufacturable advanced gate-stack technology for sub-45-nm self-aligned gate-first CMOSFETs

26. High-Frequency Performance of Self-Aligned Gate-Last Surface Channel $\hbox{In}_{0.53}\hbox{Ga}_{0.47}\hbox{As}$ MOSFET

27. Improved lifetime of poly-Si TFTs with a self-aligned gate-overlapped LDD structure

28. High-performance enhancement-mode Al2O3/InAlGaN/GaN MOS high-electron mobility transistors with a self-aligned gate recessing technology

29. Synthesis of titanium nitride for self-aligned gate AlGaN/GaN heterostructure field-effect transistors

30. Self-Aligned-Gate ZnO TFT Circuits

31. High current handling capacity multilayer inductors for RF and microwave circuits

32. Degradation of d.c. parameters in enhancement mode WNx self-aligned gate GaAs MESFETs under high temperature stress

33. Threshold voltage shift in 0.1 μm self-aligned-gate GaAs MESFETs under bias stress and related degradation of ultra-high-speed digital ICs

34. A large-signal model of self-aligned gate GaAs FET's for high-efficiency power-amplifier design

35. A self-aligned gate GaAs MESFET with p-pocket layers for high-efficiency linear power amplifiers

36. A Capless$hboxInP/hboxIn_0.52hboxAl_0.48hboxAs/hboxIn_0.53hboxGa_0.47hboxAs$p-HEMT Having a Self-Aligned Gate Structure

37. Self-aligned emitter power HBT and self-aligned gate power HFET for low/unity supply voltage operation in PHS handsets

38. High-performance 0.1 μm-self-aligned-gate GaAs MESFET technology

39. Self-aligned-gate GaN-HEMTs with heavily-doped n+-GaN ohmic contacts to 2DEG

40. Electrical and chemical characterization of W/sub 1-x-y/Si/sub x/N/sub y/ (0≤x≤0.42, 0≤y≤0.30) Schottky diodes for self-aligned gate GaAs MESFETs

41. Self-aligned-gate AlGaN/GaN heterostructure field-effect transistor with titanium nitride gate

42. Reduction of Short Channel Effects and Hot Carrier Induced Instability in Fully Self-Aligned Gate Overlapped Lightly Doped Drain Polysilicon TFTs

43. A novel laser-processed self-aligned gate-overlapped LDD poly-Si TFT

44. High transconductance self-aligned gate-last surface channel In0.53Ga0.47As MOSFET

45. Deeply-scaled self-aligned-gate GaN DH-HEMTs with ultrahigh cutoff frequency

46. Development of self-aligned T-gate pHEMT technology

47. Self-aligned gate nanopillar In0.53Ga0.47As vertical tunnel transistor

48. 60 nm self-aligned-gate InGaAs HEMTs with record high-frequency characteristics

49. Sub-100 nm channel length graphene transistors

50. 10.3: Nanodiamond vacuum field emission transistor arrays

Catalog

Books, media, physical & digital resources