107 results on '"V. Lapras"'
Search Results
2. Methodology for Active Junction Profile Extraction in thin film FD-SOI Enabling performance driver identification in 500°C devices for 3D sequential integration.
- Author
-
Tadeu Mota Frutuoso, Xavier Garros, Perrine Batude, Laurent Brunet, Joris Lacord, Benoit Sklénard, V. Lapras, Claire Fenouillet-Béranger, M. Ribotta, A. Magalhaes-Lucas, J. Kanyandekwe, R. Kies, G. Romano, Edoardo Catapano, Mikaël Cassé, Jose Lugo-Alvarez, Philippe Ferrari, and Fred Gaillard
- Published
- 2022
- Full Text
- View/download PDF
3. Feasibility and performance of the Idylla™ <scp> NRAS </scp> / <scp> BRAF </scp> cartridge mutation assay on thyroid liquid‐based fine‐needle aspiration
- Author
-
V. Lapras, Julie Besançon, Marie-Laure Denier, Christine Cugnet-Anceau, Maud Hamadou, Myriam Decaussin-Petrucci, Saliha Mezrag, Nazim Benzerdjeb, Gwenaelle Schnoering, Françoise Descotes, and Jonathan Lopez
- Subjects
Neuroblastoma RAS viral oncogene homolog ,Thyroid nodules ,Detection limit ,Histology ,medicine.diagnostic_test ,business.industry ,medicine.medical_treatment ,Thyroid ,Thyroidectomy ,General Medicine ,medicine.disease ,Pathology and Forensic Medicine ,law.invention ,Fine-needle aspiration ,medicine.anatomical_structure ,law ,medicine ,Cancer research ,Mutation testing ,skin and connective tissue diseases ,business ,neoplasms ,Polymerase chain reaction - Abstract
BACKGROUND Thyroid nodules with indeterminate cytology represent up to 30% of cases. Molecular testing is now highly recommended to improve management. This study aimed to evaluate the use of the Idylla™ NRAS/BRAF mutation test, a rapid and automated polymerase chain reaction (PCR) assay validated for fixed paraffin-embedded use, on residual thyroid liquid-based fine-needle aspiration (LB-FNA). METHODS Concordance between mutations detected by the Idylla™ assay and the gold-standard qPCR was assessed by splitting in two aliquots 31 BRAF or RAS mutated and 5 non-mutated LB-FNA samples. Samples were obtained either from simulated FNA after thyroidectomy or from FNA obtained during routine care. A third aliquot was used to assess the limit of detection of Idylla™ for five mutated samples. RESULTS The Idylla™ assay showed a sensitivity of 97% and a specificity of 83% as results were concordant for 34 out of 36 samples. One discordant sample concerned a BRAF p.K601E-mutation which is not detected by the Idylla™ cartridge. The other showed a false-positive NRAS p.A146T detection and a weak BRAF p.V600E detection. The limit of detection of the Idylla™ assay was not reached by the dilution assay. CONCLUSION Idylla™ NRAS/BRAF mutation testing can be performed on residual thyroid LB-FNA, using low DNA quantity input, thus not requiring a dedicated sample. The Idylla™ NRAS/BRAF assay offers a quick and easy first step for analyzing the main molecular alterations in indeterminate thyroid nodules, hence improving diagnostic management.
- Published
- 2021
4. Methodology for Active Junction Profile Extraction in thin film FD-SOI Enabling performance driver identification in 500°C devices for 3D sequential integration
- Author
-
T. Mota Frutuoso, X. Garros, P. Batude, L. Brunet, J. Lacord, B. Sklenard, V. Lapras, C. Fenouillet-Beranger, M. Ribotta, A. Magalhaes-Lucas, J. Kanyandekwe, R. Kies, G. Romano, E. Catapano, M. Casse, J. Lugo-Alvarez, P. Ferrari, F. Gaillard, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Reliable RF and Mixed-signal Systems (TIMA-RMS), Techniques de l'Informatique et de la Microélectronique pour l'Architecture des systèmes intégrés (TIMA), Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP ), Université Grenoble Alpes (UGA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP ), Université Grenoble Alpes (UGA), and IEEE
- Subjects
resistance ,silicon-on-insulator ,three-dimensional displays ,VLSI (Very Large Scale Integration) ,PACS 85.42 ,capacitance ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,predictive models ,performance evaluation - Abstract
International audience; We present, for the first time, a new CV based technique to extract the Active Dopant Profile under the spacer in thin film FDSOI devices (CV-AJP). The methodology is successfully applied to FDSOI devices fabricated at 500°C for 3D sequential integration. It shows that the ION/ IOFF trade-off relies mainly on the chemical dopant introduction below the offset spacer, as the activation level obtained with thermal activation is found to be high enough. The LT device demonstrated in this work, already outperforms the literature. The active profile extraction also allows to draw guidelines for further device performance improvement: using a scaled SiCO spacer (5,5nm) allows to circumvent the negligible dopant diffusion at 500°C without dynamic performance penalty due to its low-k dielectric value.
- Published
- 2022
5. 3D sequential integration: applications and associated key enabling modules (design & technology)
- Author
-
P. Batude, O. Billoint, S. Thuries, P. Malinge, C. Fenouillet-Beranger, A. Peizerat, G. Sicard, P. Vivet, S. Reboh, C. Cavalcante, L. Brunet, M. Ribotta, L. Brevard, X. Garros, T. Mota Frutuoso, B. Sklenard, J. Lacord, J. Kanyandekwe, S. Kerdiles, P. Sideris, C. Theodorou, V. Lapras, M. Mouhdach, G. Gaudin, G. Besnard, I. Radu, F. Ponthenier, A. Farcy, E. Jesse, F. Guyader, T. Matheret, P. Brunet, F. Milesi, L. Le Van-Jodin, A. Sarrazin, B. Perrin, C. Moulin, S. Maitrejean, M. Alepidis, I. Ionica, S. Cristoloveanu, F. Gaillard, M. Vinet, F. Andrieu, J. Arcamone, and E. Ollier
- Published
- 2021
6. Opportunities and challenges brought by 3D-sequential integration
- Author
-
Benoit Sklenard, Bastien Giraud, Sebastien Thuries, Mikael Casse, Joris Lacord, Cm. Ribotta, V. Lapras, P. Acosta-Alba, O. Billoint, M. Mouhdach, N. Rambal, Pascal Besson, Francois Andrieu, Perrine Batude, Didier Lattard, Laurent Brunet, Gilles Sicard, Xavier Garros, Christoforos G. Theodorou, L. Brevard, Maud Vinet, V. Mazzocchi, P. Sideris, M. Ribotta, Claire Fenouillet-Beranger, F. Ponthenier, Pascal Vivet, Sebastien Kerdiles, G. Cibrario, J.M. Hartmann, Frank Fournel, Bernard Previtali, Frédéric Mazen, Claude Tabone, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP ), Université Grenoble Alpes (UGA), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
Presentation ,Reliability (semiconductor) ,Materials science ,CMOS ,Process (engineering) ,media_common.quotation_subject ,Key (cryptography) ,Systems engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Active devices ,Sketch ,ComputingMilieux_MISCELLANEOUS ,media_common - Abstract
The aim of this paper is to present the 3D-sequential integration and its main prospective application sectors. The presentation will also give a synoptic view of all the key enabling process steps required to build high performance Si CMOS integrated by 3D-sequential with thermal budget preserving the integrity of active devices and interconnects and will sketch a status and prospect on current low temperature device performance.
- Published
- 2021
7. SST5 expression and USP8 mutation in functioning and silent corticotroph pituitary tumors
- Author
-
Véronique Raverot, Gerald Raverot, Alexandre Vasiljevic, Emmanuel Jouanneau, V. Lapras, Eudeline Alix, Lasolle Hélène, Françoise Borson-Chazot, and Solène Castellnou
- Subjects
pasireotide ,lcsh:RC648-665 ,business.industry ,Research ,Pituitary tumors ,corticotroph pituitary tumors ,medicine.disease ,lcsh:Diseases of the endocrine glands. Clinical endocrinology ,SST5 ,USP8 ,Mutation (genetic algorithm) ,Cancer research ,Medicine ,Corticotropic cell ,business - Abstract
Objective: Somatostatin receptor type 5 (SST5) is inconsistently expressed by corticotroph tumors, with higher expression found in corticotropinomas havin g ubiquitin-specific protease 8 (USP8) mutations. Aims were to study the correlation between characteristics of corticotropinomas and SST5 expression/USP8 mutation status and to describe the response to pasireotide in five patients. Design: Retrospective cohort study. Methods: Clinico-biochemical, radiological and pathological data of 62 patients, operated for a functioning or silent corticotropinoma between 2013 and 2017, were collected. SST5 expression was measured by immunohistochemistry (clone UMB -4, Abcam, IRS > 1 being considered positive), and Sanger sequencing was performed on 50 tumors to screen for USP8 mutations. Results: SST5 expression was positive in 26/62 pituitary tumors. A moderate or strong IRS was found in 15/58 corticotropinomas and in 13/35 functioning corticotropinomas. Among functioning tumors, those expressing SST5 were more frequent in women (22/24 vs 9/15, P = 0.04) and had a lower grade (P = 0.04) compared to others. USP8 mutations were identified in 13/50 pituitary tumors and were more frequent in functioning compared to silent tumors (11/30 vs 2/20, P = 0.05). SST5 expression was more frequent in USP8mut vs USP8wt tumors (10/11 vs 7/19, P = 0.007). Among treated patients, normal urinary free cortisol levels were obtained in three patients (IRS 0, 2 and 6), while a four-fold decrease was observed in one patient (IRS 4). Conclusion: SST5 expression appears to be associated with functioning, USP8mut and lower grade corticotropinomas. A correlation between SST5 expression or USP8mut and response to pasireotide remains to be confirmed.
- Published
- 2020
8. Cytological features and nuclear scores: Diagnostic tools in preoperative fine needle aspiration of indeterminate thyroid nodules with RAS or BRAF K601E mutations?
- Author
-
Marie-Laure Denier, Joris Giai, Lucie Ravella, M. Decaussin-Petrucci, Jonathan Lopez, V. Lapras, Françoise Descotes, Françoise Borson-Chazot, Jean-Christophe Lifante, Centre de Recherche en Cancérologie de Lyon (UNICANCER/CRCL), Centre Léon Bérard [Lyon]-Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-Université de Lyon-Institut National de la Santé et de la Recherche Médicale (INSERM)-Centre National de la Recherche Scientifique (CNRS), Hospices Civils de Lyon (HCL), Laboratoire de Biométrie et Biologie Evolutive - UMR 5558 (LBBE), Université Claude Bernard Lyon 1 (UCBL), and Université de Lyon-Université de Lyon-Institut National de Recherche en Informatique et en Automatique (Inria)-VetAgro Sup - Institut national d'enseignement supérieur et de recherche en alimentation, santé animale, sciences agronomiques et de l'environnement (VAS)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Thyroid nodules ,Adult ,Male ,Proto-Oncogene Proteins B-raf ,Pathology ,medicine.medical_specialty ,Histology ,Adenoma ,Cytodiagnosis ,Biopsy, Fine-Needle ,Thyroid Gland ,030209 endocrinology & metabolism ,medicine.disease_cause ,Pathology and Forensic Medicine ,03 medical and health sciences ,0302 clinical medicine ,Cytology ,Adenocarcinoma, Follicular ,medicine ,Humans ,[INFO]Computer Science [cs] ,Thyroid Neoplasms ,Thyroid Nodule ,[MATH]Mathematics [math] ,Thyroid neoplasm ,Cell Nucleus ,medicine.diagnostic_test ,business.industry ,Thyroid ,Nodule (medicine) ,General Medicine ,Middle Aged ,medicine.disease ,[STAT]Statistics [stat] ,Fine-needle aspiration ,medicine.anatomical_structure ,Thyroid Cancer, Papillary ,030220 oncology & carcinogenesis ,Mutation ,ras Proteins ,medicine.symptom ,business ,Indeterminate - Abstract
INTRODUCTION The cytological diagnosis of follicular-patterned thyroid lesions is challenging, especially since the World Health Organisation classification has recognised non-invasive follicular thyroid neoplasm with papillary-like features. These entities are often classified as indeterminate on cytology. Molecular testing has been proposed to help classify indeterminate nodules. RAS and K601E BRAF mutations are mostly encountered in follicular-patterned lesions, but their diagnostic value is not well established. Nuclear scores have also been proposed to help classify indeterminate lesions. OBJECTIVE To investigate the correlation between cytological features and histology and to assess nuclear scores in a series of indeterminate RAS or BRAF K601E positive thyroid nodules. METHODS The cytological parameters of 69 indeterminate RAS or BRAF K601E-positive thyroid nodules were evaluated. The Strickland and Maletta scores and a new nuclear score were assessed. Diagnosis of malignant, benign or indolent neoplasms was confirmed in each case by histology. Malignant and indolent nodules were considered surgical nodules, and adenomas non-surgical nodule. RESULTS Surgical nodules were associated with the presence of ground glass nuclei (P = .001), grooves (P
- Published
- 2020
9. OR23-04 SST5 Expression and USP8 Mutation in Functioning and Silent Corticotroph Pituitary Tumors
- Author
-
V. Lapras, Solène Castellnou, Véronique Raverot, Emmanuel Jouanneau, Françoise Borson-Chazot, Gérald Raverot, Hélène Lasolle, Alexandre Vasiljevic, and Eudeline Alix
- Subjects
Neuroendocrinology and Pituitary ,Endocrinology, Diabetes and Metabolism ,Mutation (genetic algorithm) ,Pituitary tumors ,medicine ,Cancer research ,Corticotropic cell ,Biology ,medicine.disease ,Pituitary Tumors: Trials and Studies ,AcademicSubjects/MED00250 - Abstract
Context. Somatostatin receptor type 5 (SST5), a target of pasireotide, is inconsistently expressed by corticotroph tumors. Somatic driver mutations in the ubiquitin-specific protease 8 (USP8) gene have been describes in 35% to 60% of corticotropinomas. SST5 expression has been found to be higher in USP8mut corticotropinomas. Objective. To study the correlation between clinical and biochemical characteristics of a large cohort of functioning and silent corticotroph tumor and SST5 expression or USP8 mutation status. To describe SST5 expression and the response to pasireotide in 5 patients. Design. Retrospective cohort study. Setting. University hospitals of Lyon. Patients. 62 patients operated for a corticotroph tumors between 2013 and 2017. Intervention. None. Main Outcome Measure. Clinical, biological, radiological and pathological data were evaluated depending on SST5 expression measured by immunohistochemistry (rabbit monoclonal antibody, clone UMB-4, Abcam). Membrane immune-positivity with an IRS>1 was considered positive. USP8 analysis was performed by Sanger sequencing in 50 tumors. Results. SST5 expression was positive in 26 (41.9%) pituitary tumors. A moderate or strong IRS was found in 24.2% of the cohort and in 32.5% of the functioning pituitary tumors. Compared to SST5-negative pituitary tumors, those expressing SST5 were more frequent in women (92.3% vs 55.6%; p=0.002), fewer were silent (7.7% vs 58.3%; p USP8 mutations were identified in 26% of the cohort and more frequent in functioning (n=11/30, 36.7%) compared to silent corticotroph tumors (n=2/20, 10%; p=0.05). SST5 expression was more frequent in USP8mut vs USP8neg tumors (58.8% vs 7.7%; p Among treated patients, normal urinary free cortisol (UFC) levels were obtained in 3 patients (IRS 0, 2, and 6) and a 4-fold decrease of UFC in one patient (IRS 4). Conclusion. SST5 expression seems to be associated with functioning, well-differentiated pituitary tumors and USP8 mutation. However, a correlation between SST5 expression or USP8mut and response to pasireotide treatment remains to be confirmed.
- Published
- 2020
10. Confined selective lateral epitaxial growth of 16-nm thick Ge nanostructures on SOI substrates: Advantages and challenges
- Author
-
Rami Khazaka, Y. Bogumilowicz, Bernard Previtali, Sylvain David, Denis Rouchon, Nicolas Chevalier, Sylvain Maitrejean, Zdenek Chalupa, Hervé Boutry, Anne Marie Papon, V. Lapras, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Systèmes RF (XLIM-SRF), XLIM (XLIM), Université de Limoges (UNILIM)-Centre National de la Recherche Scientifique (CNRS)-Université de Limoges (UNILIM)-Centre National de la Recherche Scientifique (CNRS), Laboratoire des technologies de la microélectronique (LTM ), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])
- Subjects
[PHYS]Physics [physics] ,010302 applied physics ,Materials science ,Nanostructure ,business.industry ,Stacking ,General Physics and Astronomy ,Silicon on insulator ,02 engineering and technology ,Surfaces and Interfaces ,General Chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Epitaxy ,01 natural sciences ,Surfaces, Coatings and Films ,Etching (microfabrication) ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,ComputingMilieux_MISCELLANEOUS - Abstract
In this contribution, we report on the growth of Ge inside extremely thin 16-nm thick cavities through selective lateral growth of Ge on 300 mm silicon-on-insulator (0 0 1) substrates. We showed that the density of defects depends on the cavity shape, with extended defects such as micro-twins and stacking faults observed on the top surface along the 〈1 1 0〉 directions when the Si/Ge growth interface is along the 〈1 1 0〉 directions. The optimization of the cavity shape, by tuning the etching conditions, leads to a significant reduction of the defects in the Ge nanostructures, and this approach paves the road towards the co-integration of Si and Ge based devices.
- Published
- 2018
11. Is Gross Total Resection Reasonable in Adults with Craniopharyngiomas with Hypothalamic Involvement?
- Author
-
V. Lapras, Ciprian Enachescu, Caroline Apra, Emmanuel Jouanneau, Gerald Raverot, Institut du Cerveau et de la Moëlle Epinière = Brain and Spine Institute (ICM), Institut National de la Santé et de la Recherche Médicale (INSERM)-CHU Pitié-Salpêtrière [AP-HP], Assistance publique - Hôpitaux de Paris (AP-HP) (AP-HP)-Sorbonne Université (SU)-Assistance publique - Hôpitaux de Paris (AP-HP) (AP-HP)-Sorbonne Université (SU)-Sorbonne Université (SU)-Centre National de la Recherche Scientifique (CNRS), Centre de Recherche en Cancérologie de Lyon (UNICANCER/CRCL), Centre Léon Bérard [Lyon]-Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-Université de Lyon-Institut National de la Santé et de la Recherche Médicale (INSERM)-Centre National de la Recherche Scientifique (CNRS), Université Claude Bernard Lyon 1 (UCBL), Université de Lyon, Institut du Cerveau = Paris Brain Institute (ICM), Assistance publique - Hôpitaux de Paris (AP-HP) (AP-HP)-Institut National de la Santé et de la Recherche Médicale (INSERM)-CHU Pitié-Salpêtrière [AP-HP], Assistance publique - Hôpitaux de Paris (AP-HP) (AP-HP)-Sorbonne Université (SU)-Sorbonne Université (SU)-Sorbonne Université (SU)-Centre National de la Recherche Scientifique (CNRS), Hôpital neurologique et neurochirurgical Pierre Wertheimer [CHU - HCL], Hospices Civils de Lyon (HCL), Centre Hospitalier Lyon Sud [CHU - HCL] (CHLS), Groupement Hospitalier Lyon-Est (GHE), and CCSD, Accord Elsevier
- Subjects
Adult ,Male ,medicine.medical_specialty ,Endoscopic endonasal surgery ,Adolescent ,medicine.medical_treatment ,[SDV]Life Sciences [q-bio] ,Hypothalamus ,03 medical and health sciences ,Craniopharyngioma ,Young Adult ,0302 clinical medicine ,Medicine ,Humans ,Pituitary Neoplasms ,Body mass index ,Neuronavigation ,Aged ,Retrospective Studies ,2. Zero hunger ,medicine.diagnostic_test ,business.industry ,Magnetic resonance imaging ,Middle Aged ,medicine.disease ,3. Good health ,Surgery ,Radiation therapy ,[SDV] Life Sciences [q-bio] ,Dissection ,Subtotal resection ,Treatment Outcome ,Pituitary ,Tumor progression ,030220 oncology & carcinogenesis ,Neuroendoscopy ,Female ,Neurology (clinical) ,Neurosurgery ,business ,030217 neurology & neurosurgery - Abstract
International audience; Objective: The treatment of hypothalamus-invading craniopharyngiomas, based on pediatric experience, is subtotal resection (STR) with radiotherapy. This strategy sometimes leads to uncontrollable tumor progression. In adults, with the use of endoscopic endonasal surgery (EES), does removing the hypothalamic part of the tumor-whenever possible-compromise the outcome of the patients?Methods: We included adults with craniopharyngioma treated by a first EES in 2008-2016 by senior neurosurgeon (E.J.). Endocrine, ophthalmologic, and hypothalamic data were retrospectively collected, including body mass index (BMI), cognitive and social status, with a systematic follow-up interview. Magnetic resonance imaging scans were graded according to Puget classification: 0, no hypothalamic involvement; 1, hypothalamic displacement; and 2, hypothalamic involvement. Grade 2 tumors were separated into gross total resection (GTR) or STR.Results: We included 22 patients aged 18-79 years. Presenting symptoms were visual (14, 64%), endocrine dysfunction (10, 45%), BMI >30 (8, 36%), and cognitive/psychiatric impairment (9, 41%). Fourteen (64%) were grade 2 craniopharyngiomas. GTR was performed in 14 (64%) patients. Postoperatively, 12/14 (86%) cases improved visually, and 20 (91%) needed hormone replacement therapy. There was no difference in BMI evolution in the GTR versus STR group, cognitive status was stable or improved in all patients except 1; 4/8 patients with STR experienced progression needing adjuvant treatment versus no patient with GTR.Conclusions: EES GTR of grade 2 craniopharyngiomas does not cause major hypothalamic worsening, in contrast with children operated by cranial approaches. The surgeon's experience is key in deciding when to stop the dissection. Offering GTR whenever possible aims at avoiding tumor progression and radiotherapy.
- Published
- 2019
12. Tunability of Parasitic Channel in Gate-All-Around Stacked Nanosheets
- Author
-
Maud Vinet, Virginie Loup, Bernard Previtali, G. Audoit, Vincent Delaye, V. Lapras, Mikael Casse, Joris Lacord, Sylvain Barraud, Thomas Ernst, Nicolas Bernier, N. Rambal, Olivier Rozeau, V. Balan, L. Dourthe, Zdenek Chalupa, A. Jannaud, Sebastien Martinie, C. Vizioz, J.M. Hartmann, and G. Romano
- Subjects
010302 applied physics ,Materials science ,business.industry ,Spice ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,021001 nanoscience & nanotechnology ,Electrostatics ,01 natural sciences ,0103 physical sciences ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,0210 nano-technology ,business ,Metal gate ,Hardware_LOGICDESIGN ,Communication channel - Abstract
For the first time, a comprehensive study going from the integration of 3D stacked nanosheets Gate-All-Around (GAA) MOSFET devices to SPICE modeling is proposed. Devices have been successfully fabricated on SOI substrates using a replacement high- $\kappa$ metal gate process and self-aligned-contacts. Back-biasing is herein efficiently used to highlight a drastic improvement of electrostatics in the upper GAA Si channels. Advanced electrical characterization of these devices enabled us to calibrate a new version of physical compact model (LETI-NSP) in order to assess the performance of ring oscillators for different configurations of GAA FETs integrating up to 8 vertically stacked Si channels.
- Published
- 2018
13. Introducing a highly efficient stressor for pMOS devices by controlling epitaxy and Ge enrichment in advanced planar FDSOI CMOS technology
- Author
-
J. Kanyandekwe, D. Barge, P. Morin, L. Grenouillet, M. Labrot, S. Maitrejean, E. Augendre, V. Lapras, Y. Morand, D. Dutartre, M. Gros-Jean, O. Gourhant, C. Gaumer, N. Rambal, D. Cooper, and L. Clement
- Subjects
Planar ,Materials science ,CMOS ,business.industry ,Optoelectronics ,Epitaxy ,business ,PMOS logic - Published
- 2018
14. Local lateral integration of 16-nm thick Ge nanowires on silicon on insulator substrates
- Author
-
Hervé Boutry, A.M. Papon, H. Dansas, Zdenek Chalupa, V. Lapras, Bernard Previtali, Sylvain Maitrejean, Y. Bogumilowicz, Rami Khazaka, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
010302 applied physics ,Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,Oxide ,Nanowire ,Silicon on insulator ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,chemistry ,Transmission electron microscopy ,Etching (microfabrication) ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Quantum tunnelling - Abstract
In this contribution, we report on the growth of horizontal Ge nanowires inside extremely thin tunnels surrounded by oxide. This is achieved through selective lateral growth of Ge on silicon-on-insulator (001) substrates. The 16 nm high tunnels are formed by HCl vapor etching of Si followed by Ge growth in the same epitaxy chamber. First, the benefit of growing the Ge nanowires at high temperature was highlighted to homogenize the length of the nanowires and achieve a high growth rate. Afterwards, we showed that increasing the tunnel depth led to a significant reduction in the growth rate. Finally, transmission electron microscopy showed that no defects were present in the Ge nanowires. These results are encouraging for the planar co-integration of heterogeneous materials on Si.In this contribution, we report on the growth of horizontal Ge nanowires inside extremely thin tunnels surrounded by oxide. This is achieved through selective lateral growth of Ge on silicon-on-insulator (001) substrates. The 16 nm high tunnels are formed by HCl vapor etching of Si followed by Ge growth in the same epitaxy chamber. First, the benefit of growing the Ge nanowires at high temperature was highlighted to homogenize the length of the nanowires and achieve a high growth rate. Afterwards, we showed that increasing the tunnel depth led to a significant reduction in the growth rate. Finally, transmission electron microscopy showed that no defects were present in the Ge nanowires. These results are encouraging for the planar co-integration of heterogeneous materials on Si.
- Published
- 2018
15. Single-mode waveguides for GRAVITY: I. The cryogenic 4-telescope integrated optics beam combiner
- Author
-
S. Guieu, F. Patru, C. Scibetta, Sylvestre Lacour, Y. Gambérini, A. Delboulbé, Christian Straubmeier, Guy Perrin, A. Chabli, Laurent Jocou, Stefan Gillessen, Cyprien Lanthermann, E. Stadler, A. Nolot, P. Noël, S. Pocas, Thibaut Moulin, Karine Perraut, G. Chamiot-Maitral, P. Labeye, C. Vizioz, R. Templier, J.-B. Le Bouquin, Wolfgang Brandner, F. Haußmann, Frank Eisenhauer, Pierre Kervella, Oliver Pfuhl, Magdalena Lippa, V. Cardin, Yves Magnard, Marcus Haug, Noel Ventura, António Amorim, F. Joulain, J. Guerrero, S. Poulain, V. Lapras, Jean-Philippe Berger, Institut de Planétologie et d'Astrophysique de Grenoble (IPAG), Institut national des sciences de l'Univers (INSU - CNRS)-Centre National d'Études Spatiales [Toulouse] (CNES)-Centre National de la Recherche Scientifique (CNRS)-Observatoire des Sciences de l'Univers de Grenoble (OSUG ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut national des sciences de l'Univers (INSU - CNRS)-Institut national de recherche en sciences et technologies pour l'environnement et l'agriculture (IRSTEA)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut national de recherche en sciences et technologies pour l'environnement et l'agriculture (IRSTEA)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Istituto Nazionale di Geofisica e di Oceanografia Sperimentale (OGS), Max Planck Institute for Extraterrestrial Physics (MPE), Max-Planck-Gesellschaft, Laboratoire d'études spatiales et d'instrumentation en astrophysique (LESIA (UMR_8109)), Institut national des sciences de l'Univers (INSU - CNRS)-Observatoire de Paris, Université Paris sciences et lettres (PSL)-Université Paris sciences et lettres (PSL)-Université Paris Diderot - Paris 7 (UPD7)-Sorbonne Université (SU)-Centre National de la Recherche Scientifique (CNRS), Département d'Optronique (DOPT), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Technologique (CEA) (DRT (CEA)), Institut de biologie et chimie des protéines [Lyon] (IBCP), Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-Université de Lyon-Centre National de la Recherche Scientifique (CNRS), INAF - Osservatorio Astrofisico di Arcetri (OAA), Istituto Nazionale di Astrofisica (INAF), Le Verre Fluoré, Institute Patology and Imunology Molecular, Fac Ciencias, Universidade do Porto, Max-Planck-Institut für Astronomie (MPIA), Universität zu Köln, Universidade do Porto = University of Porto, and Universität zu Köln = University of Cologne
- Subjects
Cryostat ,FRONT SENSORS ,Context (language use) ,Astrophysics ,Astronomy & Astrophysics ,01 natural sciences ,7. Clean energy ,Computer Science::Digital Libraries ,VLTI ,law.invention ,010309 optics ,Telescope ,Optics ,law ,K band ,ASTRONOMICAL INTERFEROMETRY ,0103 physical sciences ,010303 astronomy & astrophysics ,Physics ,Very Large Telescope ,Science & Technology ,business.industry ,high angular resolution [techniques] ,Astrophysics::Instrumentation and Methods for Astrophysics ,techniques: high angular resolution ,Astronomy and Astrophysics ,H band ,K-BAND ,CIAO ,Physics::History of Physics ,interferometric [techniques] ,Interferometry ,Space and Planetary Science ,techniques: interferometric ,Physical Sciences ,business ,[PHYS.ASTR]Physics [physics]/Astrophysics [astro-ph] ,Beam (structure) - Abstract
Context. Within the framework of the second-generation instrumentation of the Very Large Telescope Interferometer of the European Southern Observatory we have developed the four-telescope beam combiner in integrated optics. Aims. We optimized the performance of such beam combiners, for the first time in the near-infrared K band, for the GRAVITY instrument dedicated to the study of the close environment of the galactic centre black hole by precision narrow-angle astrometry and interferometric imaging. Methods. We optimized the design of the integrated optics chip and the manufacturing technology as well, to fulfil the very demanding throughput specification. We also designed an integrated optics assembly able to operate at 200 K in the GRAVITY cryostat to reduce thermal emission. Results. We manufactured about 50 beam combiners by silica-on-silicon etching technology. We glued the best combiners to single-mode fluoride fibre arrays that inject the VLTI light into the integrated optics beam combiners. The final integrated optics assemblies have been fully characterized in the laboratory and through on-site calibrations: their global throughput over the K band is higher than 55% and the instrumental contrast reaches more than 95% in polarized light, which is well within the GRAVITY specifications. Conclusions. While integrated optics technology is known to be mature enough to provide efficient and reliable beam combiners for astronomical interferometry in the H band, we managed to successfully extend it to the longest wavelengths of the K band and to manufacture the most complex integrated optics beam combiner in this specific spectral band.
- Published
- 2018
16. Performance and Design Considerations for Gate-All-Around Stacked-NanoWires FETs
- Author
-
C. Vizioz, J.M. Hartmann, Maud Vinet, Sotirios Athanasiou, Jean-Charles Barbe, Francois Andrieu, Sebastien Martinie, Thomas Ernst, Olivier Rozeau, C. Comboroure, V. Lapras, Marie-Anne Jaud, François Triozon, Bernard Previtali, Joris Lacord, M.-P. Samson, Sylvain Barraud, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), and European Project: 688101,H2020,H2020-ICT-2015,SUPERAID7(2016)
- Subjects
010302 applied physics ,Flexibility (engineering) ,Electron mobility ,Materials science ,Transistor ,Nanowire ,02 engineering and technology ,Hardware_PERFORMANCEANDRELIABILITY ,021001 nanoscience & nanotechnology ,01 natural sciences ,7. Clean energy ,Engineering physics ,Capacitance ,law.invention ,Gallium arsenide ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,chemistry ,law ,Logic gate ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0210 nano-technology ,Nanosheet - Abstract
International audience; This paper presents recent progress on Gate-All-Around (GAA) stacked-NanoWire (NW) / NanoSheet (NS) MOSFETs. Key technological challenges will be discussed and recent research results presented. Width-dependent carrier mobility in Si NW/NS and FinFET will be analyzed, and intrinsic performance and design considerations of GAA structures will be discussed and compared to FinFET devices with a focus on electrostatics, parasitic capacitances and different layout options. The results show that more flexibility can be achieved with stacked-NS transistors in order to manage power-performance optimization.
- Published
- 2017
17. High performance low temperature FinFET with DSPER, gate last and Self Aligned Contact for 3D sequential mtegration
- Author
-
J. Micout, M. Casse, J.-P. Colinge, L. Desvoivres, Vincent Delaye, C. Fenouillet-Beranger, S. Barraud, X. Garros, Perrine Batude, J.M. Hartmann, R. Bortolin, V. Mazzocchi, Frédéric Mazen, G. Romano, B. Mathieu, N. Rambal, V. Balan, Zineb Saghi, F. Allain, M.-P. Samson, P. Besombes, C. Comboroure, M. Vinet, Quentin Rafhay, Joris Lacord, Claude Tabone, Alain Toffoli, Gerard Ghibaudo, C. Vizioz, Benoit Sklenard, V. Lapras, L. Lachal, Laurent Brunet, Virginie Loup, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), STMicroelectronics [Crolles] (ST-CROLLES), Laboratoire des technologies de la microélectronique (LTM ), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), ANR-10-LABX-0055,MINOS Lab,Minatec Novel Devices Scaling Laboratory(2010), and ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
Materials science ,Fabrication ,business.industry ,020208 electrical & electronic engineering ,Doping ,Recrystallization (metallurgy) ,02 engineering and technology ,Epitaxy ,Logic gate ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,020201 artificial intelligence & image processing ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,business - Abstract
session 32: Process and Manufacturing Technology (32.2); International audience; For the first time, a low temperature (LT) FinFET process is demonstrated, using Solid Phase Epitaxy Regrowth (SPER), gate last integration and Self Aligned Contact (SAC). The LT devices exhibit performances close to those of the High Temperature Process Of Reference (HT POR). Several techniques of SPER doping are investigated and an innovative Double SPER (DSPER) process using two amorphization/recrystallization steps, is demonstrated. This DSPER process has the advantage of doping the bulk of the S/D junctions. This work opens the door to the fabrication of high-performance LT FinFETs for 3D sequential integration.
- Published
- 2017
18. Stacked-Wires FETs for Advanced CMOS Scaling
- Author
-
S. Barraud, V. Lapras, M.P. Samson, B. Previtali, J.M. Hartmann, N. Rambal, C. Vizioz, V. Loup, C. Comboroure, F. Triozon, N. Bernier, D. Cooper, M. Vinet, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), European Project: 688101,H2020,H2020-ICT-2015,SUPERAID7(2016), BARRAUD, SYLVAIN, and Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7 nm node - SUPERAID7 - - H20202016-01-01 - 2018-12-31 - 688101 - VALID
- Subjects
[SPI]Engineering Sciences [physics] ,Materials science ,[SPI] Engineering Sciences [physics] ,business.industry ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Hardware_PERFORMANCEANDRELIABILITY ,business ,Cmos scaling ,Hardware_LOGICDESIGN - Abstract
International audience; We present recent progress on vertically stacked-wires MOSFETs with a replacement metal gate process for CMOS scaling beyond FinFET technology. Key technological challenges (such as 3D integration process including inner spacer, mobility, and strain engineering) will be discussed in relation to recent research results.
- Published
- 2017
19. Dense N over CMOS 6T SRAM cells using 3D Sequential Integration
- Author
-
X. Garros, N. Rambal, C. Fenouillet-Beranger, M. Brocard, L. Pasini, G. Cibrario, Thomas Skotnicki, M.-P. Samson, A. Ayres, Laurent Brunet, M. Vinet, C. Tallaron, C-M. V., O. Billoint, R. Gassilloud, Francois Andrieu, R. Kies, G. Romano, Perrine Batude, Bernard Previtali, A. Toffoli, M. Casse, P. Besombes, C. Leroux, Claude Tabone, V. Lapras, A. Laurent, and D. Barge
- Subjects
Footprint (electronics) ,Reduction (complexity) ,Materials science ,Reliability (semiconductor) ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Stacking ,Three-dimensional integrated circuit ,Hardware_PERFORMANCEANDRELIABILITY ,Static random-access memory ,NMOS logic - Abstract
Stacking N over CMOS devices using 3D Sequential CoolCube™ Integration has been shown promising for the scaling of 6T SRAMs. By transposing one pass-gate and one pull-down NMOS to the top layer, a cell footprint reduction of 27% could be obtained, leading to a 3D vias density over 108/mm2 achievable. In addition, we presented N-type devices fabricated below 630°C yielding quasi-equivalent performances as high temperature ones while fulfilling the PBTI and hot-carrier effects reliability requirements, comforting the viability of N over CMOS approach.
- Published
- 2017
20. Vertically Stacked-NanoWires MOSFETs in a Replacement Metal Gate Process with Inner Spacer and SiGe Source/Drain
- Author
-
N. Rambal, I. Tinti, Zineb Saghi, V. Balan, O. Faynot, G. Audoit, Nicolas Bernier, F. Allain, Christian Arvet, Claude Tabone, Nicolas Posseme, B. Previtalli, Sylvain Barraud, C. Vizioz, J.M. Hartmann, A. Toffoli, E. Augendre, C. Euvrard, L. Gaben, Yves Morand, Patricia Pimenta-Barros, C. Comboroure, V. Lapras, R. Coquand, V. Maffini-Alvaro, Shay Reboh, David Cooper, Laurent Grenouillet, M.-P. Samson, J. Daranlot, Olivier Rozeau, Maud Vinet, Virginie Loup, Laboratoire de Génie Civil et d'Ingénierie Environnementale (LGCIE), Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-Université de Lyon-Institut National des Sciences Appliquées de Lyon (INSA Lyon), Université de Lyon-Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Funding : the NANO 2017 program, and European Project: 688101,H2020,H2020-ICT-2015,SUPERAID7(2016)
- Subjects
010302 applied physics ,Fabrication ,Materials science ,Silicon ,business.industry ,Transistor ,Nanowire ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Silicon-germanium ,law.invention ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,chemistry ,law ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Precession electron diffraction ,Field-effect transistor ,0210 nano-technology ,business ,Metal gate - Abstract
International audience; We report on vertically stacked horizontal Si NanoWires (NW) p-MOSFETs fabricated with a replacement metal gate (RMG) process. For the first time, stacked-NWs transistors are integrated with inner spacers and SiGe source-drain (S/D) stressors. Recessed and epitaxially re-grown SiGe(B) S/D junctions are shown to be efficient to inject strain into Si p-channels. The Precession Electron Diffraction (PED) technique, with a nm-scale precision, is used to quantify the deformation and provide useful information about strain fields at different stages of the fabrication process. Finally, a significant compressive strain and excellent short-channel characteristics are demonstrated in stacked-NWs p-FETs.
- Published
- 2016
21. Top-down fabrication and electrical characterization of Si and SiGe nanowires for advanced CMOS technologies
- Author
-
Bernard Previtali, R. Coquand, C. Vizioz, J.M. Hartmann, Sylvain Barraud, V. Lapras, and Mikael Casse
- Subjects
Fabrication ,Materials science ,CMOS ,business.industry ,Materials Chemistry ,Nanowire ,Optoelectronics ,Electrical and Electronic Engineering ,Condensed Matter Physics ,business ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) - Published
- 2019
22. Recent advances in low temperature process in view of 3D VLSI integration
- Author
-
N. Rambal, C. Fenouillet-Beranger, X. Garros, G. Cibrario, M.-P. Samson, B. Mathieu, Fabrice Nemouchi, Perrine Batude, C. Guerin, C. Leroux, Laurent Brunet, C-M. V. Lu, Sebastien Kerdiles, O. Billoint, Daniel Benoit, M. Brocard, J. Micout, R. Gassilloud, M. Vinet, Pascal Besson, Bernard Previtali, Christian Arvet, L. Pasini, Sebastien Thuries, V. Lapras, Francois Andrieu, Virginie Loup, F. Deprat, P. Acosta-Alba, V. Beugin, V. Mazzocchi, P. Besombes, and J.M. Hartmann
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,Fabrication ,Annealing (metallurgy) ,Gate stack ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Dopant Activation ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,Engineering physics ,chemistry.chemical_compound ,chemistry ,Logic gate ,0103 physical sciences ,Silicide ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,0210 nano-technology - Abstract
In this paper, the recent advances in low temperature process in view of 3D VLSI integration are reviewed. Thanks to the optimization of each low temperature process modules (dopant activation, gate stack, epitaxy, spacer deposition) and silicide stability improvement, the top layer thermal budget fabrication has been decreased in order to satisfy the requirements for 3D VLSI integration.
- Published
- 2016
23. Ns laser annealing for junction activation preserving inter-tier interconnections stability within a 3D sequential integration
- Author
-
Bernard Previtali, Laurent Brunet, B. Mathieu, Perrine Batude, J-P. Nieto, L. Pasini, Pascal Besson, I. Toque-Tresonne, F. Aussenac, Fulvio Mazzamuto, P. Acosta-Alba, Sebastien Kerdiles, Karim Huet, J.M. Hartmann, M.-P. Samson, N. Rambal, F. Ibars, R. Kachtouli, M. Vinet, V. Lapras, C. Fenouillet-Beranger, and A. Roman
- Subjects
010302 applied physics ,Materials science ,Dopant ,Silicon ,Annealing (metallurgy) ,business.industry ,Recrystallization (metallurgy) ,chemistry.chemical_element ,02 engineering and technology ,Nanosecond ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,Semiconductor laser theory ,law.invention ,chemistry ,law ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Process window ,0210 nano-technology ,business - Abstract
In this paper, the energy process window of nanosecond (ns) laser annealing for junctions activation has been determined for several dopants (As, P, BF2). The different recrystallization states observed when tuning laser energy density are explained by numerical simulations. Within these conditions, the laser impact on the thermal stability of ULK/copper inter-tiers interconnections has been evaluated for a 28nm node backend metal 1 design rules technology both from morphological and electrical perspectives. This study highlights the interest of ns laser anneal for CoolCube™ 3D integration.
- Published
- 2016
24. High performance CMOS FDSOI devices activated at low temperature
- Author
-
Louis Hutin, J. Mazurier, D. Barge, L. Pasini, Olivier Weber, Frédéric Mazen, F. Piegas Luce, Claire Fenouillet-Beranger, M. Vinet, Antoine Cros, E. Ghegin, B. Mathieu, S. Chhun, J. Borrel, Frederic Boeuf, Quentin Rafhay, Anthony Payet, Michel Haond, Perrine Batude, M. Casse, Fuccio Cristiano, Benoit Sklenard, Zineb Saghi, Joris Lacord, D. Blachier, J.P. Barnes, Gerard Ghibaudo, Francois Andrieu, V. Mazzocchi, N. Rambal, J. Micout, Vincent Delaye, V. Lapras, Laurent Brunet, R. Daubriac, Pascal Besson, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC ), Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Équipe Matériaux et Procédés pour la Nanoélectronique (LAAS-MPN), Laboratoire d'analyse et d'architecture des systèmes (LAAS), Université Toulouse Capitole (UT Capitole), Université de Toulouse (UT)-Université de Toulouse (UT)-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Université de Toulouse (UT)-Institut National des Sciences Appliquées (INSA)-Université Toulouse - Jean Jaurès (UT2J), Université de Toulouse (UT)-Université Toulouse III - Paul Sabatier (UT3), Université de Toulouse (UT)-Centre National de la Recherche Scientifique (CNRS)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université de Toulouse (UT)-Université Toulouse Capitole (UT Capitole), Université de Toulouse (UT), Nano 2017, ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), Université Toulouse - Jean Jaurès (UT2J)-Université Toulouse 1 Capitole (UT1), Université Fédérale Toulouse Midi-Pyrénées-Université Fédérale Toulouse Midi-Pyrénées-Centre National de la Recherche Scientifique (CNRS)-Université Toulouse III - Paul Sabatier (UT3), Université Fédérale Toulouse Midi-Pyrénées-Institut National des Sciences Appliquées - Toulouse (INSA Toulouse), Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA)-Institut National Polytechnique (Toulouse) (Toulouse INP), Université Fédérale Toulouse Midi-Pyrénées-Université Toulouse - Jean Jaurès (UT2J)-Université Toulouse 1 Capitole (UT1), and Université Fédérale Toulouse Midi-Pyrénées
- Subjects
010302 applied physics ,Materials science ,business.industry ,02 engineering and technology ,01 natural sciences ,020202 computer hardware & architecture ,PMOS logic ,CMOS ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,[PHYS.COND.CM-MS]Physics [physics]/Condensed Matter [cond-mat]/Materials Science [cond-mat.mtrl-sci] ,Optoelectronics ,business ,NMOS logic - Abstract
International audience; 3D sequential integration requires top FETs processed with a low thermal budget (500-600°C). In this work, high performance low temperature FDSOI devices are obtained thanks to the adapted extension first architecture and the introduction of mobility boosters (pMOS: SiGe 27% channel / SiGe:B 35% RSD and nMOS: SiC:P RSD). This first demonstration of n and p extension first FDSOI devices shows that low temperature activated device can match the performance of a device with state-of-the-art high temperature process (above 1000°C).
- Published
- 2016
25. Predictive factors of surgical outcomes in acromegaly: what's new in 2016?
- Author
-
Emmanuel Jouanneau, Muriel Rabilloud, Jacqueline Trouillas, V. Lapras, Marion Lapoirie, Laura Chinezu, Alexandre Vasiljevic, and Gerald Raverot
- Subjects
Pediatrics ,medicine.medical_specialty ,business.industry ,Acromegaly ,Medicine ,business ,medicine.disease - Published
- 2016
26. Facteurs prédictifs de l’expression du STTR5 par les adénomes corticotropes
- Author
-
S. Castellnou, Alexandre Vasiljevic, Emmanuel Jouanneau, F. Borson-Chazot, Gérald Raverot, Hélène Lasolle, and V. Lapras
- Subjects
Endocrinology ,Endocrinology, Diabetes and Metabolism ,General Medicine - Abstract
Les adenomes corticotropes expriment de maniere variable le recepteur de la somatostatine de type 5 (STTR5) qui est une cible du PASIREOTIDE, traitement medical de la maladie de Cushing. Objectifs Identifier les elements cliniques, biologiques ou radiologiques predictifs de l’expression de SSTR5. Patients et methodes Etude retrospective comportant 51 patients operes d’un adenome corticotrope aux hospices civils de Lyon entre 2013 et 2017. STTR5 a ete recherche par immunohistochimie (anticorps monoclonal de lapin Clone UMB4, fournisseur : Abcam, reference : ab109495). L’expression membranaire par plus de 1 % des cellules a ete consideree positive. Resultats Le sex-ratio etait de 2,4 (F/M = 36/15), l’âge moyen a la chirurgie de 43 ans, 30 (58,8 %) patients presentaient un macroadenome et 19 (37,2 %) un adenome corticotrope silencieux. STTR5 etait exprime par 23 (45 %) adenomes. Comparativement aux adenomes SSTR5 « negatifs », ceux exprimant STTR5 etait statistiquement associe a une maladie de cushing clinique (21/23 vs 11/28 ; p Conclusion L’expression de SSTR5 semble associee a des tumeurs bien differenciees et de bon pronostic.
- Published
- 2018
27. Imagerie normale et pathologique des glandes parathyroïdes
- Author
-
V. Lapras, J.-L. Peix, and C. Billotey
- Subjects
business.industry ,Medicine ,business - Published
- 2009
28. W and Copper Interconnection Stability for 3D VLSI CoolCube Integration
- Author
-
A. Roman, A. Seignard, Perrine Batude, C. Ribiere, O. Pollet, V. Benevent, E. Gourvest, M.-P. Samson, N. Rambal, Lucile Arnaud, L. Brunet, Hervé Denis, Y. Loquet, M. Vinet, V. Lapras, L. Emery, V. Lu, S. Maitrejean, Vincent Jousseaume, P. Besson, C.Fenouillet Beranger, G. Druais, C.Euvrard Colnat, Bernard Previtali, F. Deprat, R. Kachtouli, S. Kerdiles, Y.Le Friec, and F. Aussenac
- Subjects
Very-large-scale integration ,Interconnection ,Materials science ,chemistry ,Stability (learning theory) ,Electronic engineering ,chemistry.chemical_element ,Copper - Published
- 2015
29. (Invited) Annealing Techniques for Low Temperature Junctions Design in a 3D VLSI Integration
- Author
-
J.P. Barnes, V. Lapras, P. Acosta Alba, N. Rambal, L. Hortemel, F. Piegas Luce, P. Rivallin, Dominique Lafond, Perrine Batude, Pascal Besson, M.-P. Samson, Sebastien Kerdiles, M. Vinet, B. Mathieu, A. Royer, H. Dansas, R. Kachtouli, M. Casse, Shay Reboh, V. Lu, O. Rozeau, L. Pasini, C.Fenouillet Beranger, Bernard Previtali, Laurent Brunet, F. Aussenac, Benoit Sklenard, and F. Deprat
- Subjects
Very-large-scale integration ,Materials science ,Annealing (metallurgy) ,Engineering physics - Published
- 2015
30. Pituitary MRI characteristics in 297 acromegaly patients based on T2-weighted sequences
- Author
-
Thierry Brue, Jean-François Bonneville, François Cotton, Adrian Daly, Fabrice Bonneville, Philippe Caron, V. Lapras, Ghaidaa Nasser, Florina Luca, Stephan Gaillard, Franck Schillo, Iulia Potorac, Philippe Chanson, Claude Ben Slama, N. Girard, Anne Boulin, Sonia Nagi, Albert Beckers, Brigitte Higel, Jean-Louis Dietemann, Brigitte Delemer, Patrick Petrossians, B. Goichot, Gérald Raverot, and M. Sahnoun
- Subjects
Adenoma ,Adult ,Male ,Cancer Research ,medicine.medical_specialty ,Pathology ,Endocrinology, Diabetes and Metabolism ,Optic chiasm ,Endocrinology ,Pituitary adenoma ,Acromegaly ,medicine ,Endocrine system ,Humans ,medicine.diagnostic_test ,business.industry ,Magnetic resonance imaging ,Middle Aged ,medicine.disease ,Magnetic Resonance Imaging ,medicine.anatomical_structure ,Oncology ,Growth Hormone ,Pituitary Gland ,Cavernous sinus ,Female ,Radiology ,T2 weighted ,business - Abstract
Responses of GH-secreting adenomas to multimodal management of acromegaly vary widely between patients. Understanding the behavioral patterns of GH-secreting adenomas by identifying factors predictive of their evolution is a research priority. The aim of this study was to clarify the relationship between the T2-weighted adenoma signal on diagnostic magnetic resonance imaging (MRI) in acromegaly and clinical and biological features at diagnosis. An international, multicenter, retrospective analysis was performed using a large population of 297 acromegalic patients recently diagnosed with available diagnostic MRI evaluations. The study was conducted at ten endocrine tertiary referral centers. Clinical and biochemical characteristics, and MRI signal findings were evaluated. T2-hypointense adenomas represented 52.9% of the series, were smaller than their T2-hyperintense and isointense counterparts (PP=0.0001), invaded the cavernous sinus less frequently (P=0.0002), and rarely caused optic chiasm compression (PP=0.067) and presented higher IGF1 values (P=0.01). Although in total, adenomas had a predominantly inferior extension in 45.8% of cases, in men this was more frequent (PP=0.0067). Most adenomas (45.1%) measured between 11 and 20 mm in maximal diameter and bigger adenomas were diagnosed at younger ages (P=0.0001). The T2-weighted signal differentiates GH-secreting adenomas into subgroups with particular behaviors. This raises the question of whether the T2-weighted signal could represent a factor in the classification of acromegalic patients in future studies.
- Published
- 2015
31. Fine-needle aspiration biopsy with ultrasound guidance in patients with malignant melanoma and palpable lymph nodes
- Author
-
S. Ronger-Savle, B. Balme, C. Paulin, Stéphane Dalle, V. Lapras, and Luc Thomas
- Subjects
medicine.medical_specialty ,medicine.diagnostic_test ,business.industry ,Melanoma ,Dermatology ,medicine.disease ,Metastasis ,Surgery ,medicine.anatomical_structure ,Fine-needle aspiration ,Cutaneous melanoma ,Biopsy ,Medicine ,Lymph ,Stage (cooking) ,business ,Lymph node - Abstract
Summary Background Recurrence after treatment of stage I–II melanoma involves regional lymph nodes in about 50% of patients. A reliable method is needed to evaluate lymph node status (metastatic or not) in the case of palpable lymph nodes. Objectives To evaluate the efficiency of fine-needle aspiration biopsy (FNAB) in examining clinically detected suspicious lymph node in patients followed up after surgical removal of stage I–II melanoma. Patients and methods One hundred and twenty FNABs were performed in 67 patients with a suspicious node in an open study conducted in a French melanoma regional
- Published
- 2006
32. Facteurs pronostiques de rémission postopératoire précoce dans l’acromégalie. Étude d’une série de 63 patients opérés
- Author
-
F. Borson-Chazot, Emmanuel Jouanneau, Jacqueline Trouillas, Alexandre Vasiljevic, V. Lapras, Marion Lapoirie, Laura Chinezu, Muriel Rabilloud, and Gérald Raverot
- Subjects
Endocrinology ,Endocrinology, Diabetes and Metabolism ,General Medicine - Abstract
La chirurgie transphenoidale reste a ce jour le seul traitement curateur dans l’acromegalie avec normalisation rapide de l’IGF-1. La selection de patients pouvant beneficier d’une chirurgie curatrice reste toutefois difficile. Objectifs (1) Evaluer les facteurs pronostiques de l’issue de la chirurgie dans l’acromegalie afin de mieux cibler les patients candidats a une chirurgie premiere. (2) Comparer les profils histologiques tumoraux des patients gueris vs. non gueris. Patients et methodes Etude retrospective monocentrique, de 63 patients acromegales operes de 2009 a 2015, par le meme chirurgien. Analyse des caracteristiques cliniques, biologiques pre- et postoperatoire a 3 mois, IRM preoperatoires (taille, invasion, signal T2) et histologiques (classification pronostique, granulation). Resultats Trois mois apres la chirurgie, le taux de remission defini par la normalisation de l’IGF-1 et/ou de GH/HGPO p p p Discussion La taille et le caractere invasif de la tumeur semblent etre les meilleurs facteurs pronostiques de l’issue chirurgicale.
- Published
- 2016
33. Hyperparathyroïdies primaires juvéniles. À propos de 24 observations
- Author
-
Jean-Christophe Lifante, J.L. Peix, V Lapras, S Causeret, Nicole Berger, and O. Monneuse
- Subjects
Parathyroidectomy ,medicine.medical_specialty ,Hyperparathyroidism ,endocrine system diseases ,Adenoma ,Parathyroid neoplasm ,business.industry ,medicine.medical_treatment ,medicine.disease ,Asymptomatic ,Surgery ,Parathyroid carcinoma ,Hypoparathyroidism ,medicine ,medicine.symptom ,business ,Primary hyperparathyroidism - Abstract
Aim of the study: Primary hyperparathyroidism usually affects elderly patients. Juvenile primary hyperparathyroidism is rare, and raises diagnostic and pronostic problems. The aim of this retrospective study on 24 patients is to establish clinical, histological, and therapeutic features of juvenile primary hyperparathyroidism. Patients and methods: From 1986 to 2001, 673 patients were treated for primary hyperparathyroidism in our department. Twenty four patients were younger than 30 years old (3,5%). There were 14 women and 10 men. Mean age was 23 year (14–30). Clinical manifestations, pathologics findings and postoperative results were studied. Results: Sixteen patients presented a sporadic form of primary hyperparathyroidism with a single adenoma. Clinical manifestations were renal symptoms in 11 cases and acute hypercalcemia syndrome in 2 cases. Seven patients had a NEM I syndrome: parathyroid lesions were 6 hyperplasia and one adenoma. A 27 years old woman presented a recurrent familial isolated hyperparathyroidism. She was operated on 10 years before and at reoperation parathyroid carcinoma was found. Nineteen patients were cured after a post operative follow up ranging from 3 to 168 months. One patient had an asymptomatic hypercalcemia recurrence. Two patients presented permanent hypoparathyroidism treated whith calcitriol and calcium. Conclusion: Sporadic forms represent majority of cases of juvenile hyperparathyroidism. Renal manifestations are usual. Nevertheless, multiple endocrine neoplasia type 1 has to be evocated.
- Published
- 2002
34. Facteurs prédictifs de rémission postopératoire précoce dans les tumeurs hypophysaires neuro-endocrines : évaluation de la classification KNOSP revisitée
- Author
-
Emmanuel Jouanneau, Alexandre Vasiljevic, M. Buchy, V. Lapras, Muriel Rabilloud, and Gérald Raverot
- Subjects
Endocrinology ,Endocrinology, Diabetes and Metabolism ,General Medicine - Abstract
Introduction L’invasion du sinus caverneux (CS) par une tumeur hypophysaire est le facteur pronostique principal limitant les chances de resection chirurgicale complete. L’identification preoperatoire de cette invasion permettrait d’adapter la strategie therapeutique a chaque patient. Objectif Les objectifs de notre etude etaient d’evaluer la valeur pronostique de la classification radiologique revisitee de KNOSP et la correler aux resultats postoperatoires. Methodes Evaluation retrospective de notre serie chirurgicale de macroadenomes hypophysaires operes entre septembre 2012 et janvier 2016 par voie endoscopique trans-sphenoidale. Les images IRM preoperatoires ont ete retrospectivement interpretees selon la nouvelle classification KNOSP en 5 grades (1, 2, 3A, 3B, 4). La constatation peroperatoire de l’invasion des SC a ete retrouvee sur les comptes rendus operatoires. La remission postoperatoire precoce (RPOP) etait evaluee a 3 mois postoperatoire radiologiquement (absence de residu) et biologiquement (absence d’hypersecretion) pour les tumeurs secretantes. Resultats Cent quatre-vingt-onze tumeurs ont ete inclues (127 gonadotropes et non fonctionnelles et 64 secretantes), 17,2 % presentaient une invasion du SC. La frequence de l’invasion peroperatoire des SC ainsi que la RPOP etait correlees aux grades de la nouvelle classification KNOSP. Les taux d’invasion etait respectivement de 1,3 % ; 8,3 % ; 22,1 % ; 55,3 % ; 88,9 % et 100 % et les taux de RPOP de 78,1 % ; 78,7 %, 72,2 % 47,4 % ; 14,3 % et 10 % pour les grades 0 ; 1 ; 2 ; 3A ; 3B et 4 respectivement. Conclusion Cette etude valide la valeur pronostique de cette nouvelle classification radiologique dans la prediction des taux RPOP des tumeurs hypophysaire.
- Published
- 2017
35. The beam combiners of Gravity VLTI instrument: concept, development, and performance in laboratory
- Author
-
Guy Perrin, Christian Straubmeier, António Amorim, Frank Eisenhauer, A. Nolot, Yves Magnard, Karine Perraut, T. Moulin, Wolfgang Brandner, Laurent Jocou, P. Labeye, V. Lapras, and Christopher Holmes
- Subjects
Physics ,Wavefront ,Very Large Telescope ,Active galactic nucleus ,business.industry ,Astrophysics::High Energy Astrophysical Phenomena ,Astrophysics::Instrumentation and Methods for Astrophysics ,Astronomy ,Astrometry ,Metrology ,Black hole ,Interferometry ,Optics ,Astronomical interferometer ,Astrophysics::Solar and Stellar Astrophysics ,Astrophysics::Earth and Planetary Astrophysics ,business ,Astrophysics::Galaxy Astrophysics - Abstract
Gravity is one of the second-generation instruments of the Very Large Telescope Interferometer that operates in the near infrared range and that is designed for precision narrow-angle astrometry and interferometric imaging. With its infrared wavefront sensors, pupil stabilization, fringe tracker, and metrology, the instrument is tailored to provide a high sensitivity, imaging with 4-millisecond resolution, and astrometry with a 10µarcsec precision. It will probe physics close to the event horizon of the Galactic Centre black hole, and allow to study mass accretion and jets in young stellar objects and active galactic nuclei, planet formation in circumstellar discs, or detect and measure the masses of black holes in massive star clusters throughout the Milky Way. As the instrument required an outstanding level of precision and stability, integrated optics has been chosen to collect and combine the four VLTI beams in the K band. A dedicated integrated optics chip glued to a fiber array has been developed. Technology breakthroughs have been mandatory to fulfill all the specifications. This paper is focused on the interferometric beam combination system of Gravity. Once the combiner concept described, the paper details the developments that have been led, the integration and the performance of the assemblies.
- Published
- 2014
36. Association between microsomal triglyceride transfer protein gene polymorphism and the biological features of liver steatosis in patients with Type II diabetes
- Author
-
S. Touzet, V. Lapras, S. Bernard, F. Berthezene, I. Personne, Philippe Moulin, and P. J. Bondon
- Subjects
Adult ,Male ,medicine.medical_specialty ,Very low-density lipoprotein ,Cirrhosis ,Genotype ,Endocrinology, Diabetes and Metabolism ,Polymerase Chain Reaction ,White People ,Microsomal triglyceride transfer protein ,Liver Function Tests ,Diabetes mellitus ,Internal medicine ,Internal Medicine ,medicine ,Humans ,Promoter Regions, Genetic ,Aged ,Glycoproteins ,Hepatitis, Chronic ,Ultrasonography ,Polymorphism, Genetic ,biology ,Middle Aged ,medicine.disease ,Cholesterol Ester Transfer Proteins ,Apolipoproteins ,Endocrinology ,Diabetes Mellitus, Type 2 ,Liver ,biology.protein ,Female ,France ,Gene polymorphism ,Steatohepatitis ,Steatosis ,Carrier Proteins ,Polymorphism, Restriction Fragment Length - Abstract
Aims/hypothesis. Non-alcoholic steatohepatitis is frequent in Type II (non-insulin-dependent) diabetes mellitus and can lead to fibrosis and cirrhosis. The interindividual variability in the occurrence of non-alcoholic steatohepatitis suggests, however, a genetic modulation. Microsomal triglyceride transfer protein (MTP) is necessary for the assembly and secretion of VLDL and when the protein is not functional, such as in abetalipoproteinaemia, a steatohepatitis occurs. We therefore assessed the association between a functional polymorphism in the promoter region of MTP gene (–493 G/T) and the biological features of steatohepatitis in Type II diabetic patients. Methods. We studied 271 patients with Type II diabetes. Determination of –493 G/T polymorphism was made by PCR-RFLP. Increased liver enzymes were used as surrogates of liver steatosis and alanine aminotransferase concentration was the outcome variable for the multivariate analysis. Liver ultrasonography was available for a subgroup of patients with newly diagnosed diabetes. Results. The proportion of patients with increased alanine aminotransferase was higher in GG than in GT and TT subgroups (23 %, 11 % and 6 %, respectively, p = 0.01). Additionally, patients with high alanine aminotransferase concentrations were more likely to be young (p = 0.01), male (p = 0.001), obese (p = 0.04) and have low HDL-cholesterol (p = 0.01). In multivariate analysis, the MTP genotype was independently associated with alanine aminotransferase concentration (p = 0.0023) as well as sex and body mass index but not HDL-cholesterol. Conclusion/interpretation. The –493 G/T MTP gene polymorphism is associated with biological surrogates of steatohepatitis in patients with Type II diabetes. The G allele which is responsible for a decrease in MTP gene transcription is prone to increase the intrahepatic triglycerides content, conferring by this a genetic susceptibility for steatohepatitis. [Diabetologia (2000) 43: 995–999]
- Published
- 2000
37. Les opérations pour hyperparathyroïdie primaire en 1998.À propos de 66 patients et de trois voies d’abord
- Author
-
F. Mancini, V Lapras, A Binet, M El Khazen, J.L. Peix, and Nicole Berger
- Subjects
Gynecology ,medicine.medical_specialty ,business.industry ,medicine ,Surgery ,business ,Lateral approach - Abstract
Resume But de l’etude : Le but de cette etude prospective etait d’evaluer les benefices et les contraintes de la cervicotomie, de l’abord electif et de la videochirurgie dans le traitement de l’hyperparathyroidie primaire (HPT 1). Patients et methodes : Au cours de l’annee 1998, 66 patients ont ete operes pour HPT 1 dans le meme centre. Il s’agissait de 48 femmes et 18 hommes (âge moyen : 58 ans, extremes : 21–84). Aucun n’avait de forme familiale ou de neoplasie endocrinienne multiple. L’intervention a ete realisee par cervicotomie ( n : 32), par abord electif lateralise ( n = 8), par videochirurgie ( n = 25). Un adenome mediastinal a ete enleve par cervicotomie et un autre par thoracoscopie gauche. Le dosage rapide de la parathormone (PTH) etait effectue 20 minutes apres exerese de l’adenome. La calcemie etait dosee a la 24 e et 48 e heure et deux mois apres l’intervention. Resultats : L’examen anatomopathologique a trouve un adenome double et 65 adenomes uniques. L’exerese a ete suivie dans tous les cas d’une chute significative de la PTH. Dans le groupe traite par chirurgie video-assistee, il y a eu 11 conversions en cervicotomie (44 %) et une paralysie recurrentielle. A la fin de l’etude, tous les patients sauf un etaient normocalcemiques. Conclusion : Ce travail confirme la faisabilite de la chirurgie video-assistee dans l’hyperparathyroidie. Elle necessite un reperage preoperatoire de l’adenome et un dosage rapide peroperatoire de la PTH. Elle permet de reduire la taille de la cicatrice et evite la sternotomie pour l’exerese des adenomes mediastinaux. L’abord electif a un interet comparable a celui de la videochirurgie et une plus grande simplicite. La cervicotomie conserve une place de choix en cas de cervicotomie anterieurement, de thyroidectomie associee, de non reperage de l’adenome par l’imagerie et chez les sujets âges moins concernes par des preoccupations esthetiques.
- Published
- 2000
38. Chimiothérapie par 5-Fluorouracile-Dacarbazine : une alternative thérapeutique possible dans les cancers médullaires métastatiques rapidement progressifs
- Author
-
T. Walter, Cécile Nozières, F. Borson-Chazot, Claire Bournaud, Lucien Marchand, and V. Lapras
- Subjects
Endocrinology ,Endocrinology, Diabetes and Metabolism ,General Medicine - Abstract
Introduction Les inhibiteurs de tyrosine kinase (ITK) sont maintenant indiques en premiere intention dans les formes agressives et symptomatiques de cancer medullaire de la thyroide (CMT) avance. Cependant, le recours a la chimiotherapie peut se justifier chez les patients non repondeurs ou presentant des contre-indications aux ITK. Objectifs Rapporter l’effet d’une chimiotherapie par 5-Fluorouracile (5-FU) et dacarbazine chez 4 patients traites entre 2011 et 2014 pour un CMT avance et rapidement progressif. Methodes La reponse tumorale a ete evaluee selon les criteres RECIST 1.0. La methylation du promoteur de MGMT a ete analysee par pyrosequencage. Resultats Deux patients ont presente une reponse objective prolongee (reduction tumorale de 50 % et 55 % respectivement, maintenue pendant 12 mois pour les deux patients), accompagnee d’une amelioration spectaculaire de l’etat general et d’une diminution de 79 % et 86 % de la calcitonine serique. Une reponse partielle a ete obtenue chez un 3e patient et le 4e a ete non repondeur. Pour l’ensemble des 4 patients, la survie sans progression mediane a ete de 8,5 mois (3–12) et la survie globale mediane de 13,5 mois (5–27). Une diminution de la calcitonine a 3 mois etait associee a la presence d’une reponse tumorale. Le statut MGMT n’etait pas un facteur predictif de reponse. Aucun effet secondaire majeur n’a ete observe. Conclusion Le taux de reponse etait eleve dans cette petite serie (75 %). L’association 5-FU-Dacarbazine peut constituer une alternative aux ITK. Les facteurs predictifs de reponse au traitement restent a identifier.
- Published
- 2015
39. Hémangiome capillaire splénique en échographie conventionnelle et avec produit de contraste
- Author
-
C. Beziat, V. Lapras, B. Bancel, R. Tetreau, D. Marion, and C. Gouillat
- Subjects
Radiological and Ultrasound Technology ,Radiology, Nuclear Medicine and imaging - Abstract
Resume Nous presentons le cas d’une patiente de 75 ans avec une tumeur vasculaire splenique nodulaire decouverte fortuitement. L’exploration TDM, echographique conventionnelle et avec produit de contraste rejeterent l’angiome splenique typique. La patiente est finalement splenectomisee. L’examen anatomopathologique montre un hemangiome capillaire thrombose. L’arsenal radiologique ne permet pas toujours d’affirmer le diagnostic d’hemangiome splenique, du fait de la localisation splenique, des remaniements tumoraux, et lorsque la taille est importante. L’imagerie ne peut alors exclure une lesion maligne.
- Published
- 2006
40. Mise au point d’un test moléculaire améliorant le diagnostic préopératoire des nodules thyroïdens
- Author
-
Oana-Maria Capraru, M. Decaussin-Petrucci, H. Lasolle, J.L. Peix, B. Rousset, Samia Selmi-Ruby, E. Dantony, Joël Lachuer, M.-L. Denier, Catherine Cornu, F. Borson-Chazot, J.C. Lifante, Benjamin Riche, Pascal Roy, and V. Lapras
- Subjects
Endocrinology ,Endocrinology, Diabetes and Metabolism ,General Medicine - Abstract
Introduction L’evaluation preoperatoire du risque de malignite des nodules thyroidiens repose sur l’echographie et la cytoponction, au terme desquels 30 % sont classes indetermines. Objectif Construire un test moleculaire dont les performances seront evaluees en association avec le resultat cytologique et adaptees au contexte clinique dans le but d’affiner le diagnostic preoperatoire des nodules thyroidiens. Materiel et Methodes Dans cette etude prospective, le materiel de cytoponction des nodules possedant un diagnostic histologique etait analyse par une puce transcriptomique de 20 genes construits lors d’un travail anterieur. L’ajustement d’un modele de regression logistique a permis de selectionner les 7 genes les plus pertinents pour construire un predicateur combine, integrant egalement le resultat Bethesda. Ses performances ont ete optimisees pour differentes prevalences de malignite ainsi qu’en ponderant les consequences d’eventuelles erreurs diagnostiques (ratios benefice-risque). Ce modele a ete compare a la classification Bethesda seule en evaluant l’aire sous la courbe ROC (ASC). Resultats Sept cent vingt-deux cytoponctions ont ete incluses, 128 ont beneficie du test moleculaire dont 46 nodules malins. Le predicateur combine presentait dans notre cohorte (prevalence de 36 %) une sensibilite de 76 % et une specificite de 95 %. L’ASC du test combine etait significativement superieure a celle de la classification Bethesda seule (p = 0,004). Pour une prevalence de 7 %, nous obtenions une specificite maximale de 100 % et sensibilite plus basse de 47,8 %. Conclusion Ce test moleculaire, evalue en association avec la cytologie et optimise selon le contexte clinique, presente une excellente specificite et pourrait contribuer a une amelioration de l’evaluation preoperatoire des nodules thyroidiens.
- Published
- 2016
41. Integrated optical detection circuit for magneto-optical drives
- Author
-
C. Chabrol, P. Mottier, and V. Lapras
- Subjects
Materials science ,business.industry ,Photonic integrated circuit ,Cladding (fiber optics) ,law.invention ,chemistry.chemical_compound ,Interferometry ,Silicon nitride ,chemistry ,law ,Media Technology ,Electronic engineering ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,Reactive-ion etching ,Photolithography ,business ,Electronic circuit - Abstract
An integrated optical circuit (IOC) combining all the detection functions of a standard magneto-optical reading head (MO reading, focus and tracking control) is presented. The reading function is achieved by mean of a patented interferometric circuit. For tracking control, the well-known push-pull method has been applied and adapted to integrated optics. For focus control, an original method based on multimode interference, using the benefits of integrated optics has been successfully tested. The implemented technology is based on a silicon substrate with a silicon nitride core between two silica cladding layers (Si-SiO/sub 2/-Si/sub 3/N/sub 4/-SiO/sub 2/). This technology is a low cost technology that is well adapted for mass production. The optical components of the circuits are made by standard "hard contact" photolithography and reactive ion etching. Several wafers with about 50 devices have been processed and characterised. In particular, the detection signals have been compared with the detection signals delivered simultaneously by a standard commercial MO drive. This experiment demonstrates the feasibility of an integrated detection device for a MO drive.
- Published
- 1995
42. The integrated optics beam combiner assembly of the GRAVITY/VLTI instrument
- Author
-
Frank Eisenhauer, Christian Straubmeier, António Amorim, T. Moulin, Wolfgang Brandner, Yves Magnard, Karine Perraut, Laurent Jocou, G. Perrin, P. Labeye, A. Nolot, and V. Lapras
- Subjects
Physics ,Scientific instrument ,Wavefront ,Interferometry ,Optics ,business.industry ,K band ,Astrophysics::Instrumentation and Methods for Astrophysics ,Astrometry ,Sensitivity (control systems) ,business ,Beam (structure) ,Metrology - Abstract
Gravity aims at enhancing infrared imaging at VLTI to significantly improve our understanding of the physical processes related to gravitation and accretion within compact objects. With its fiber-fed integrated optics, infrared wavefront sensors, fringe tracker, beam stabilization and a novel metrology concept, GRAVITY will push the sensitivity and accuracy of astrometry and interferometric imaging far beyond what is offered today. Four telescopes will be combined in dual feed in the K band providing precision astrometry of order 10 micro-arcseconds, and imaging with 4- milliarcsecond resolution. The fringe tracker and the scientific instrument host an identical integrated optics beam combiner made by silica-on-silicon etching technology that is put inside a cryogenic vessel and cooled down to 200K to reduce thermal background and increase sensitivity. This paper gives the design of the integrated beam combiner and of its fibered array that allows feeding the combiner with stellar light. Lab measurement of spectral throughput and interferometric performance for beam combiners made by Flame Hydrolysis Deposition and by Plasma-Enhanced Chemical Vapor Deposition (PECVD) are given. The procedure to glue together the beam combiner and its fibered array is described as well as the tests to validate the performance and the ageing effects at low temperature. Finally the thermal analysis and the eigen-frequency study of the whole device are presented.
- Published
- 2012
43. Through Silicon Via technology using tungsten metallization
- Author
-
R. Anciant, N. Sillon, N. Bresson, P. Brianceau, J. F. Lugand, G. Pares, S. Minoret, and V. Lapras
- Subjects
Microelectromechanical systems ,Wire bonding ,Fabrication ,Through-silicon via ,chemistry ,Computer science ,Process (computing) ,Stacking ,Electronic engineering ,Deep reactive-ion etching ,chemistry.chemical_element ,Tungsten - Abstract
Through Silicon Vias (TSV) is a very promising technology in advanced packaging, for the replacement of wire bonding. This technology is becoming mandatory for fully integrated products such as SiP, SoP, 3D components integration (e.g memory stacking), or MEMS structure packaging. Different alternatives are currently investigated such as via-first or via-last. Into the via-first family two different approaches can be considered. The TSV's can be done before the FEOL (pre-process approach) or in-between the FEOL and the BEOL (mid process approach). Each solution has advantages and drawbacks depending on the final application in particular. In a first part of this paper the tungsten mid-process TSV technology will be presented and briefly compared to the copper mid-process approaches. Then, the process of the tungsten TSV fabrication will be detailed and morphological characterizations will be presented. We will focus on two specific parts of the process which have been specifically optimized for the tungsten TSV technology: the low temperature insulation oxide and the tungsten deposition-etch back sequence to fill the vias. The results of those optimizations will be presented and discussed. Last, we will introduce the electrical test vehicle used in this work and present the main results regarding via resistances. Some specific recommendations will by proposed in term of design and integration rules in relation with the process constraints.
- Published
- 2011
44. Effects of stress in polysilicon VIA - first TSV technology
- Author
-
N. Bresson, V. Lapras, N. Sillon, David Henry, G. Parès, and Stephane Moreau
- Subjects
Materials science ,Through-silicon via ,Silicon ,business.industry ,chemistry.chemical_element ,Substrate (electronics) ,Stress (mechanics) ,Reliability (semiconductor) ,CMOS ,chemistry ,Electronic engineering ,Optoelectronics ,Wafer ,business ,Wafer-level packaging - Abstract
Through Silicon Via (TSV) is a very attractive solution for 3D stacking. One of the main concerns regarding the TSV technologies is the resulting stress build up inside the silicon substrate that induces warpage or expansion at the wafer level, crystalline defects in the neighboring silicon of the TSV and finally can impact performances and reliability of CMOS device as well. In this work, we show results on how the stress is built up in the substrate during the fabrication of via-first polysilicon TSVs and the influence of some of the specific process steps. Then, simulated data will be presented and compared to experimental findings. Then, stress release during back side processing is demonstrated by wafer expansion and cracks of the thinned wafer depending on the glue material used. We also present characterizations of silicon defects by chemical revelation around the TSV structures after back side processing. The impact of thin wafer expansion on TSV electrical performances will be then presented. Finally we show that with the optimization of some key process steps, stress induced in polysilicon via-first technology may be acceptable for IC integration.
- Published
- 2010
45. Mid-process through silicon vias technology using tungsten metallization: Process optimazation and electrical results
- Author
-
G. Parès, N. Sillon, S. Huet, David Henry, S. Minoret, V. Lapras, Brendan Dunne, R. Anciant, and J. F. Lugand
- Subjects
Interconnection ,Materials science ,Through-silicon via ,Silicon ,chemistry ,Chemical-mechanical planarization ,Electronic engineering ,chemistry.chemical_element ,High voltage ,Redistribution layer ,Surface finish ,Engineering physics ,Leakage (electronics) - Abstract
Through Silicon Via (TSV) is a one of the more important bricks for 3D stacking and offer different integration approaches. The via-last approach has been first introduced into production. Yet the via-first approach is also currently actively investigated since it has some advantages particularly the use of high conformal deposition materials for isolation and filling of the TSVs enabling higher density of connections or high voltage operations required for certain final product applications. We will show results on process development and integration of 70µm deep annular TSVs using tungsten as filling material on a dedicated test chip vehicle. First the complete process flow will be presented. Then, process development work and issues will be addressed. At first we will present developments on the annular trenches opening aiming at favorable slopes and minimum roughness. Deep RIE TSV etching process will be illustrated. For the isolation of the TSV a comparison between SACVD and DHDP deposition oxide will be then discussed. A special focus will be done on W filling sequence using multiple deposition and etch-back steps with different deposition process recipes and a final Chemical Mechanical Polishing (CMP) planarization of the TSVs. The backside process is also presented with the optimization of the back-lapping and CMP process to obtain a stress free silicon surface with no degradation of the TSVs as well as a minimum topology enabling a good back side contact. Backside interconnection is also presented featuring RDL (redistribution Layer) and die-to-wafer attach with bumps technology. Then electrical characterizations will be presented. A specific test vehicle was designed to study the TSV density and proximity impact with different number of rings and ring width TSV designs. Daisy chains, specific structures to measure TSV resistance similar to Kelvin structures, interdigitated chains to measure via leakage, and special structures to stress at very high voltage (up to 1000V), were designed. The electrical results from those specific structures will be discussed.
- Published
- 2009
46. Through Silicon Vias Technology for CMOS Image Sensors Packaging: Presentation of Technology and Electrical Results
- Author
-
David Henry, B. Aventurier, C. Brunet-Manquat, N. Sillon, F. Jacquet, J. Michailos, Brendan Dunne, N. Hotellier, P. Chausse, R. Anciant, V. Lapras, and Jean Charbonnier
- Subjects
Materials science ,Silicon ,business.industry ,Wafer bonding ,Electrical engineering ,chemistry.chemical_element ,CMOS ,chemistry ,Etching (microfabrication) ,Optoelectronics ,Bumping ,Wafer ,Electrical measurements ,business ,Wafer-level packaging - Abstract
In this paper a low temperature 'via-last' technology will be presented. This technology has been especially developed for CMOS image sensors wafer level packaging. In the first part of this paper, the design of the TSV will be presented and a first approach of a design rule definition for TSV will be introduced. The alignment strategy will be also presented, and specific patterns to succeed front side to back side alignment will be described. In a second part the steps of the Through Silicon Vias (TSV) technology will be briefly presented: glass wafer carrier bonding onto the silicon substrate, silicon thinning and backside technology including specific steps like double side lithography, silicon deep etching, silicon side wall insulation, vias metallization and final bumping. Then, morphological characterizations of the via-last technology will be presented and discussed. Finally, electrical characterization including vias continuity, single via electrical resistance, insulation layer leakage current and breakdown voltage have been measured and will be discussed. A picture obtained with the TSV CMOS Image Sensor (TSV CIS) will be also shown.
- Published
- 2008
47. Wafer level packaging technology development for CMOS image sensors using Through Silicon Vias
- Author
-
N. Sillon, N. Bouzaida, F. Jacquet, Jean Charbonnier, G. Enyedi, C. Brunet-Manquat, V. Lapras, David Henry, and B. Aventurier
- Subjects
Materials science ,Silicon ,Hybrid silicon laser ,business.industry ,chemistry.chemical_element ,chemistry ,CMOS ,Etching (microfabrication) ,Electronic engineering ,Optoelectronics ,Bumping ,Wafer ,business ,Lithography ,Wafer-level packaging - Abstract
In this paper a low temperature dasiavia-lastrdquo technology will be presented. This technology has been especially developed for CMOS image sensors wafer level packaging. In the first part of this paper, the steps of the through silicon vias (TSV) technology will be presented: glass wafer carrier bonding onto the silicon substrate, silicon thinning and backside technology including specific steps like double side lithography, silicon deep etching, silicon side wall insulation, vias metallization and final bumping. In a second part, the design of the TSV will be presented and a first approach of a design rule definition for TSV will be introduced. Morphological and electrical characterizations of the via-last technology will then be showed and discussed. Finally, a picture obtained with the TSV CMOS image sensor (TSV CIS) will be presented.
- Published
- 2008
48. Through silicon vias technology for CMOS image sensors packaging
- Author
-
T. Enot, N. Sillon, X. Baillin, V. Lapras, David Henry, Jean Charbonnier, C. Brunet-Manquat, B. Aventurier, Fabrice Jacquet, and M. Neyret
- Subjects
Wafer-scale integration ,Materials science ,Silicon ,business.industry ,Wafer bonding ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,CMOS ,chemistry ,Etching (microfabrication) ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Bumping ,Optoelectronics ,Wafer ,business ,Wafer-level packaging - Abstract
In this paper a low temperature 'via-last' technology will be presented. This technology has been especially developed for CMOS image sensors wafer level packaging. The design rules of the vias will be briefly described and then, the steps of the technology will be presented : glass wafer carrier bonding onto the silicon substrate, silicon thinning and backside technology including specific steps like double side lithography, silicon deep etching, silicon side wall insulation, vias metallization and final bumping. Morphological and electrical characterizations of the vias-last technology will be showed and discussed. Finally, a picture obtained with the TSV CMOS Image Sensor (TSV CIS) will be presented.
- Published
- 2008
49. High Aspect Ratio Vias First for Advanced Packaging
- Author
-
Brendan Dunne, N. Sillon, David Henry, E. Vigier-Blanc, V. Lapras, Caroline Hernandez, and X. Baillin
- Subjects
System in package ,Wafer-scale integration ,Materials science ,CMOS ,business.industry ,Electronic engineering ,Deep reactive-ion etching ,Optoelectronics ,Wafer ,Electrical measurements ,Integrated circuit design ,business ,Wafer-level packaging - Abstract
In this paper a new 'via-first' technology which is compatible with CMOS high temperature steps will be presented. This technology is based on filling high aspect ratio trenches with doped polysilicon and thinning the silicon after active device bonding onto a wafer carrier. The initial morphological requirements are described and different designs of TSV are presented. The complete technology for TSV achievement is described in detail and electrical results obtained with different vias geometries are presented and compared to initial calculations. Finally, several reflows experiments have been performed on these vias and electrical measurements have been achieved again and compared to initial results.
- Published
- 2007
50. Via First Technology Development Based on High Aspect Ratio Trenches Filled with Doped Polysilicon
- Author
-
Brendan Dunne, N. Sillon, V. Lapras, X. Baillin, J.M. Quemper, E. Vigier-Blanc, Caroline Hernandez, M.H. Vaudaine, and David Henry
- Subjects
Materials science ,Silicon ,business.industry ,Wafer bonding ,Doping ,chemistry.chemical_element ,Characterization (materials science) ,CMOS ,Electrical resistance and conductance ,chemistry ,Electronic engineering ,Deep reactive-ion etching ,Optoelectronics ,Wafer ,business - Abstract
In this paper a new 'via-first' technology which is compatible with CMOS high temperature steps will be presented. This technology is based on filling high aspect ratio trenches with doped polysilicon and thinning the silicon after active device bonding onto a wafer carrier. The initial morphological requirements are described and different designs of TSV are presented. The complete technology for TSV achievement is described in detail and the morphological characterization results are discussed. Finally, electrical results obtained with different vias geometries are presented and compared to initial calculations.
- Published
- 2007
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.