Search

Showing total 356 results

Search Constraints

Start Over You searched for: Search Limiters Available in Library Collection Remove constraint Search Limiters: Available in Library Collection Topic circuit faults Remove constraint Topic: circuit faults Publication Type Academic Journals Remove constraint Publication Type: Academic Journals Journal ieee transactions on computer-aided design of integrated circuits & systems Remove constraint Journal: ieee transactions on computer-aided design of integrated circuits & systems
356 results

Search Results

1. Invisible-Scan: A Design-for-Testability Approach for Functional Test Sequences.

2. Multicycle Broadside and Skewed-Load Tests for Test Compaction.

3. New Targets for Diagnostic Test Generation.

4. Reverse Low-Power Broadside Tests.

5. Skewed-Load Tests for Transition and Stuck-at Faults.

6. Autonomous Multicycle Tests With Low Storage and Test Application Time Overheads.

7. Generating Single- and Double-Pattern Tests for Multiple CMOS Fault Models in One ATPG Run.

8. Switching Activity of Faulty Circuits in Presence of Multiple Transition Faults.

9. Online Resource Management for Improving Reliability of Real-Time Systems on “Big–Little” Type MPSoCs.

10. Close-to-Functional Broadside Tests With a Safety Margin.

11. Reliable Hardware Architectures for Cryptographic Block Ciphers LED and HIGHT.

12. Restoration-Based Merging of Functional Test Sequences.

13. LBIST for Automotive ICs With Enhanced Test Generation.

14. LFSR-Based Test Generation for Path Delay Faults.

15. TSV Repair Architecture for Clustered Faults.

16. DR-Scan: Dual-Rail Asynchronous Scan DfT and ATPG.

17. Clock Sequences for Increasing the Fault Coverage of Functional Test Sequences.

18. Sequential Test Generation Based on Preferred Primary Input Cubes.

19. A Post-Bond TSV Test Method Based on RGC Parameters Measurement.

20. DFT Architecture With Power-Distribution-Network Consideration for Delay-Based Power Gating Test.

21. Isometric Test Data Compression.

22. An Initialization Process to Support Online Testing Based on Output Comparison for Identical Finite-State Machines.

23. A Method to Detect Bit Flips in a Soft-Error Resilient TCAM.

24. Reliable and Fault Diagnosis Architectures for Hardware and Software-Efficient Block Cipher KLEIN Benchmarked on FPGA.

25. Enhancing Test Compression With Dependency Analysis for Multiple Expansion Ratios.

26. Modeling, Detection, and Diagnosis of Faults in Multilevel Memristor Memories.

27. Novel Test-Mode-Only Scan Attack and Countermeasure for Compression-Based Scan Architectures.

28. Functional Broadside Tests for Multistep Defect Diagnosis.

29. Identifying Biases of a Defect Diagnosis Procedure.

30. Security-Aware FSM Design Flow for Identifying and Mitigating Vulnerabilities to Fault Attacks.

31. Adaptive 3D-IC TSV Fault Tolerance Structure Generation.

32. Fault Awareness for Memory BIST Architecture Shaped by Multidimensional Prediction Mechanism.

33. On-Chip Self-Test Methodology With All Deterministic Compressed Test Patterns Recorded in Scan Chains.

34. Deterministic and Probabilistic Diagnostic Challenge Generation for Arbiter Physical Unclonable Function.

35. On the Generation of Waveform-Accurate Hazard and Charge-Sharing Aware Tests for Transistor Stuck-Off Faults in CMOS Logic Circuits.

36. Input Test Data Volume Reduction for Skewed-Load Tests by Additional Shifting of Scan-In States.

37. Synthesis of Application-Specific Fault-Tolerant Digital Microfluidic Biochip Architectures.

38. Layout-Based Soft Error Rate Estimation Framework Considering Multiple Transient Faults—From Device to Circuit Level.

39. TSV Extracted Equivalent Circuit Model and an On-Chip Test Solution.

40. Improving the Diagnosability of Scan Chain Faults Under Transparent-Scan by Observation Points.

41. Thermal Aware Test Scheduling for NTV Circuit.

42. Reliable Inversion in GF(28) With Redundant Arithmetic for Secure Error Detection of Cryptographic Architectures.

43. Structural Variance-Based Error-Tolerability Test Method for Image Processing Applications.

44. Static Test Compaction for Scan Circuits by Using Restoration to Modify and Remove Tests.

45. Deterministic Stellar BIST for Automotive ICs.

46. Highly Reliable Memory Architecture Using Adaptive Combination of Proactive Aging-Aware In-Field Self-Repair and ECC.

47. Estimation of Analog/RF Parametric Test Metrics Based on a Multivariate Extreme Value Model.

48. Improving Combinational Circuit Reliability Against Multiple Event Transients via a Partition and Restructuring Approach.

49. Logic Locking With Provable Security Against Power Analysis Attacks.

50. SAFARI: Automatic Synthesis of Fault-Attack Resistant Block Cipher Implementations.