42 results on '"Su Min Hwang"'
Search Results
2. Chemical reactions induced by low-energy electron exposure on a novel inorganic-organic hybrid dry EUV photoresist deposited by molecular atomic layer deposition (MALD)
- Author
-
Dan N. Le, Su Min Hwang, Jihoon Woo, Seungsoo Choi, Taehee Park, Jean-Francois Veyan, Nikhil M. Tiwale, Ashwanth Subramanian, Won-Il Lee, Chang-Yong Nam, Rino Choi, and Jiyoung Kim
- Published
- 2022
- Full Text
- View/download PDF
3. Low-energy electron exposure and reactive ion etching characteristics of hybrid EUV photoresist synthesized by molecular atomic layer deposition
- Author
-
Won-Il Lee, Ashwanth Subramanian, Nikhil M. Tiwale, Dan N. Le, Su Min Hwang, Jiyoung Kim, and Chang-Yong Nam
- Published
- 2022
- Full Text
- View/download PDF
4. Plasma-Enhanced Atomic-Layer Deposition of Nanometer-Thick SiNx Films Using Trichlorodisilane for Etch-Resistant Coatings
- Author
-
Dan N. Le, Jinho Ahn, Byung Keun Hwang, Xiaobing Zhou, Lance Lee, Yong Chan Jung, Jiyoung Kim, Harrison Sejoon Kim, Akshay Sahota, Arul Vigneswar Ravichandran, Si Joon Kim, Jaebeom Lee, and Su Min Hwang
- Subjects
Materials science ,business.industry ,Plasma ,engineering.material ,chemistry.chemical_compound ,Atomic layer deposition ,Silicon nitride ,chemistry ,Coating ,engineering ,Optoelectronics ,General Materials Science ,Nanometre ,business - Abstract
In recent times, the requirements have become extremely stringent for employing silicon nitride (SiNx) films in various types of applications. For instance, high etch resistance coating is required...
- Published
- 2021
- Full Text
- View/download PDF
5. The Effect of Appearance Design Stage on Social Presence When Interacting with Digital Humans in VR
- Author
-
Yeon-bin Lee, Su-min Hwang, and Igil Kim
- Subjects
Design stage ,Computer science ,Human–computer interaction ,Digital human ,Virtual reality ,Social relation - Published
- 2020
- Full Text
- View/download PDF
6. High growth rate and high wet etch resistance silicon nitride grown by low temperature plasma enhanced atomic layer deposition with a novel silylamine precursor
- Author
-
Yong Chan Jung, Xiaobing Zhou, Xin Meng, Arul Vigneswar Ravichandran, Harrison Sejoon Kim, Jinho Ahn, Si Joon Kim, Young-Chul Byun, Byung Keun Hwang, Su Min Hwang, Akshay Sahota, Jiyoung Kim, and Lance Lee
- Subjects
010302 applied physics ,Materials science ,Chemical polarity ,02 engineering and technology ,General Chemistry ,021001 nanoscience & nanotechnology ,01 natural sciences ,Silane ,Cathode ,law.invention ,chemistry.chemical_compound ,Atomic layer deposition ,chemistry ,Chemical engineering ,Silicon nitride ,law ,0103 physical sciences ,Materials Chemistry ,Molecule ,Thin film ,0210 nano-technology ,Deposition (law) - Abstract
Trisilylamine (TSA), an exemplary chlorine and carbon-free commercial silylamine precursor, is well-known to induce improvements in the process and properties of silicon nitride (SiNx) thin films grown using atomic layer deposition (ALD). Herein, we report a TSA homolog, tris(disilanyl)amine (TDSA), as a novel chlorine and carbon-free precursor for the deposition of highly etch resistant SiNx thin films having a high growth rate at a low temperature (
- Published
- 2020
- Full Text
- View/download PDF
7. Photochemical study of metal infiltrated e-beam resist using vapor-phase infiltration for EUV applications
- Author
-
Aditya Raja Gummadavelly, Chang-Yong Nam, Nikhill M. Tiwale, Jiyoung Kim, Jean François Veyan, Su Min Hwang, Dan N. Le, Jinho Ahn, and Yong Chan Jung
- Subjects
Materials science ,Resist ,Extreme ultraviolet lithography ,technology, industry, and agriculture ,Electron beam processing ,Electron ,Fourier transform infrared spectroscopy ,Absorption (electromagnetic radiation) ,Photochemistry ,Hybrid material ,Electron gun - Abstract
Significant efforts have been dedicated to the development of inorganic-organic hybrid materials for next-generation EUV resists. Among the various synthesis, vapor-phase infiltration of metal source into existing e-beam photoresists using ALD process has drawn great attention. In this work, we have demonstrated the vapor-phase infiltration of both Hf and Al precursors into PMMA and HSQ resists, respectively. For example, under the electron exposure with 100 eV, both hybrid resists show relatively higher EUV absorption, increasing positive and negative tone. The detailed photochemical reactions of on electron exposure were investigated using an in-situ FTIR equipped with electron gun capability.
- Published
- 2021
- Full Text
- View/download PDF
8. A Study on Production of 360VR Video Contents Using the AI(Artificial Intelligence)-Based Style Transfer Engine
- Author
-
Yeon-bin Lee, Han, Jungyeob, Lee, Haeun, Yun Gery, and Su-min Hwang
- Subjects
business.industry ,Computer science ,Production (economics) ,High resolution ,Artificial intelligence ,business ,Style (sociolinguistics) - Published
- 2019
- Full Text
- View/download PDF
9. Vapor-phase Surface Cleaning of Electroplated Cu Films Using Anhydrous N2H4
- Author
-
Su Min Hwang, Jean François Veyan, Kui Tan, Jeff Spiegelman, Jiyoung Kim, Harrison Sejoon Kim, Luis Fabián Peña, Aswin L. N. Kondusamy, Yong Chan Jung, Daniel Alvarez, and Zhiyang Qin
- Subjects
Materials science ,Chemical engineering ,Vapor phase ,Anhydrous ,Electroplating ,Surface cleaning - Abstract
Copper is widely used in the semiconductor industry as interconnects due to its low resistivity, high resistance to electromigration, low temperature coefficient of resistance, and good thermal stability (1). However, the exposed Cu interconnects during via-opening and post chemical mechanical polishing/planarization (CMP) process, are vulnerable to oxidation with water rinse and exposure to air, resulting in reliability degradation (2). Therefore, an additional process to reduce the copper oxide would be required. Copper cleaning can be achieved by either physical Ar sputtering or chemical reduction process (3). Recent demonstration of chemical-based cleaning of Cu interconnects is expected to overcome disadvantages of physical Ar sputtering process, such as chamfering and re-deposition on vias and trenches. A number of studies on vapor-based reduction of copper oxide under ambient pressure conditions and at temperatures below 400 °C using hydrogen, ammonia, carbon monoxide, forming gas, acetic acid, formic acid, and ethanol as reducing agents have been reported (4,5). On the other hand, Hydrazine (N2H4) can be used in the reduction of copper oxide due to its higher reduction capability (6). Inspired by hydrazine’s unique characteristics, we explore the feasibility of vapor-phase reduction of copper oxide using anhydrous N2H4 to achieve an ideal metallic Cu film in an ALD environment. Additionally, a detailed surface analysis and reaction pathway of reduction with N2H4 has not been reported yet due to lack of in-situ experiment. In this work, reduction of Cu samples with a native oxide were evaluated using N2H4 in a rapid thermal ALD system, as shown in Figure 1 (a). Before introducing the samples, the Cu surface was swept with compressed N2 gas, without any prior solvent cleaning, followed by loading into the ALD chamber. The representative time sequence of one cycle of the N2H4 treatment is illustrated in Figure 1 (b). The chamber was pumped down to 0.2 Torr without Ar carrier gas flow. N2H4 was exposed for 5 s with trapping for 120 s, followed by a purging time of 120 s. From ex-situ XPS analysis, the initial sample surface showed contamination with adventitious carbon species, resulting in relatively low intensity in Cu 2p narrow scan (Fig. 2(a)). In addition, the surface contained Cu(OH)x and a CuxO film approximately 2 nm thick, indicating the metallic copper surface had formed CuxO and Cu(OH)x from exposure to air. With N2H4 treatment at 200 oC, a significant amount of copper oxide and hydroxide were reduced to metallic Cu, as observed in a decrease in the O 1s peak. It implies that N2H4 can clean the surface by reducing the oxide to metallic Cu as well as removing the surface contaminants. In addition, in-situ reflection absorption infrared spectroscopy (RAIRS) was employed to elucidate the individual surface chemistry of copper films during the N2H4 exposure. By monitoring the interaction of N2H4 with the surface species, we found both removal of surface contaminants and reduction of CuxO to metallic Cu (Figure 3). The detailed experimental results will be presented. This work is supported by Rasirc Inc. by providing the anhydrous N2H4. R. P. Chaukulkar, N. F. W. Thissen, V. R. Rai, and S. Agarwal, J. Vac. Sci. Technol. A, 32, 01A108 (2014). Y.-L. Cheng, C.-Y. Lee, and Y.-L. Huang, in Noble and Precious Metals-Properties, Nanoscale Effects and Applications, M. Seehar and A. Bristow, Editors, p. 216–250, Intechopen (2018). C. K. Hu et al., Microelectron. Eng., 70, 406–411 (2003). L. F. Pena, J. F. Veyan, M. A. Todd, A. Derecskei-Kovacs, and Y. J. Chabal, ACS Appl. Mater. Interfaces, 10, 38610–38620 (2018). Y. Chang, J. Leu, B.-H. Lin, Y.-L. Wang, and Y.-L. Cheng, Adv. Mater. Sci. Eng., 2013, 1–7 (2013). D. M. Littrell, D. H. Bowers, and B. J. Tatarchuk, J. Chem. Soc. Faraday Trans. 1 Phys. Chem. Condens. Phases, 83, 3271–3282 (1987). Figure 1
- Published
- 2019
- Full Text
- View/download PDF
10. Hollow Cathode Plasma (HCP) Enhanced Atomic Layer Deposition of Silicon Nitride (SiNx) Thin Films Using Pentachlorodisilane (PCDS)
- Author
-
Byung Keun Hwang, Zhiyang Qin, Xiaobing Zhou, Telgenhoff Michael D, Xin Meng, Jiyoung Kim, Su Min Hwang, Harrison Sejoon Kim, Jeanette Young, and Aswin L. N. Kondusamy
- Subjects
Materials science ,Analytical chemistry ,Plasma ,Cathode ,Process conditions ,law.invention ,Atomic layer deposition ,chemistry.chemical_compound ,X-ray photoelectron spectroscopy ,Silicon nitride ,chemistry ,law ,Thin film ,Fourier transform infrared spectroscopy - Abstract
In this work, effects of NH3/N2 and N2-H2/Ar plasma gases for the growth of PEALD SiNx films using pentachlorodisilane (PCDS, HSi2Cl5) were studied using a hollow cathode PEALD system. At identical process conditions, the combination of PCDS and N2−H2/Ar plasma showed a relatively lower (approximately 1.6 nm/min, 500:1 HF). Using XPS and FTIR analysis, it was identified that N2−H2/Ar gas mixture results in a Si-rich SiNx film with less N−Hx bonds when compared to NH3/N2 mixture, thereby resulting in a decreased wet etch rate.
- Published
- 2019
- Full Text
- View/download PDF
11. A Comprehensive Study on the Effect of TiN Top and Bottom Electrodes on Atomic Layer Deposited Ferroelectric Hf0.5Zr0.5O2 Thin Films
- Author
-
Jinho Ahn, Harrison Sejoon Kim, Jaidah Mohan, Pil-Ryung Cha, Si Joon Kim, Yong Chan Jung, Kihyun Kim, Namhun Kim, Hyun Yong Yu, Jiyoung Kim, Rino Choi, Chadwin D. Young, Akshay Sahota, and Su Min Hwang
- Subjects
Materials science ,Annealing (metallurgy) ,low thermal budget process ,chemistry.chemical_element ,02 engineering and technology ,Hf0.5Zr0.5O2 ,01 natural sciences ,lcsh:Technology ,law.invention ,TiN electrode ,Barrier layer ,Atomic layer deposition ,law ,0103 physical sciences ,General Materials Science ,Crystallization ,Thin film ,lcsh:Microscopy ,lcsh:QC120-168.85 ,010302 applied physics ,lcsh:QH201-278.5 ,business.industry ,lcsh:T ,021001 nanoscience & nanotechnology ,Ferroelectricity ,ferroelectric film ,chemistry ,lcsh:TA1-2040 ,Electrode ,atomic layer deposition ,Optoelectronics ,lcsh:Descriptive and experimental mechanics ,lcsh:Electrical engineering. Electronics. Nuclear engineering ,0210 nano-technology ,business ,Tin ,lcsh:Engineering (General). Civil engineering (General) ,lcsh:TK1-9971 - Abstract
The discovery of ferroelectricity in HfO2-based materials in 2011 provided new research directions and opportunities. In particular, for atomic layer deposited Hf0.5Zr0.5O2 (HZO) films, it is possible to obtain homogenous thin films with satisfactory ferroelectric properties at a low thermal budget process. Based on experiment demonstrations over the past 10 years, it is well known that HZO films show excellent ferroelectricity when sandwiched between TiN top and bottom electrodes. This work reports a comprehensive study on the effect of TiN top and bottom electrodes on the ferroelectric properties of HZO thin films (10 nm). Investigations showed that during HZO crystallization, the TiN bottom electrode promoted ferroelectric phase formation (by oxygen scavenging) and the TiN top electrode inhibited non-ferroelectric phase formation (by stress-induced crystallization). In addition, it was confirmed that the TiN top and bottom electrodes acted as a barrier layer to hydrogen diffusion into the HZO thin film during annealing in a hydrogen-containing atmosphere. These features make the TiN electrodes a useful strategy for improving and preserving the ferroelectric properties of HZO thin films for next-generation memory applications.
- Published
- 2020
12. A Comprehensive Study on the Effect of TiN Top and Bottom Electrodes on Atomic Layer Deposited Ferroelectric Hf
- Author
-
Si Joon, Kim, Jaidah, Mohan, Harrison Sejoon, Kim, Su Min, Hwang, Namhun, Kim, Yong Chan, Jung, Akshay, Sahota, Kihyun, Kim, Hyun-Yong, Yu, Pil-Ryung, Cha, Chadwin D, Young, Rino, Choi, Jinho, Ahn, and Jiyoung, Kim
- Subjects
ferroelectric film ,low thermal budget process ,atomic layer deposition ,Hf0.5Zr0.5O2 ,Article ,TiN electrode - Abstract
The discovery of ferroelectricity in HfO2-based materials in 2011 provided new research directions and opportunities. In particular, for atomic layer deposited Hf0.5Zr0.5O2 (HZO) films, it is possible to obtain homogenous thin films with satisfactory ferroelectric properties at a low thermal budget process. Based on experiment demonstrations over the past 10 years, it is well known that HZO films show excellent ferroelectricity when sandwiched between TiN top and bottom electrodes. This work reports a comprehensive study on the effect of TiN top and bottom electrodes on the ferroelectric properties of HZO thin films (10 nm). Investigations showed that during HZO crystallization, the TiN bottom electrode promoted ferroelectric phase formation (by oxygen scavenging) and the TiN top electrode inhibited non-ferroelectric phase formation (by stress-induced crystallization). In addition, it was confirmed that the TiN top and bottom electrodes acted as a barrier layer to hydrogen diffusion into the HZO thin film during annealing in a hydrogen-containing atmosphere. These features make the TiN electrodes a useful strategy for improving and preserving the ferroelectric properties of HZO thin films for next-generation memory applications.
- Published
- 2020
13. High wet-etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane
- Author
-
Su Min Hwang, Harrison Sejoon Kim, Dan N. Le, Akshay Sahota, Jaebeom Lee, Yong Chan Jung, Sang Woo Kim, Si Joon Kim, Rino Choi, Jinho Ahn, Byung Keun Hwang, Xiaobing Zhou, and Jiyoung Kim
- Subjects
Surfaces and Interfaces ,Condensed Matter Physics ,Surfaces, Coatings and Films - Published
- 2022
- Full Text
- View/download PDF
14. Investigation of the Physical Properties of Plasma Enhanced Atomic Layer Deposited Silicon Nitride as Etch Stopper
- Author
-
Byung Keun Hwang, Gary Goodman, Lanxia Cheng, Harrison Sejoon Kim, Aswin L. N. Kondusamy, Xin Meng, Antonio T. Lucero, Si Joon Kim, Jiyoung Kim, Alan S. Wan, Su Min Hwang, Young-Chul Byun, Telgenhoff Michael D, Joy S. Lee, and Robert M. Wallace
- Subjects
010302 applied physics ,Materials science ,business.industry ,Hexachlorodisilane ,Analytical chemistry ,02 engineering and technology ,Plasma ,021001 nanoscience & nanotechnology ,01 natural sciences ,Cathode ,law.invention ,chemistry.chemical_compound ,Atomic layer deposition ,Semiconductor ,chemistry ,Silicon nitride ,Impurity ,law ,0103 physical sciences ,General Materials Science ,0210 nano-technology ,business ,Layer (electronics) - Abstract
Correlations between physical properties linking film quality with wet etch rate (WER), one of the leading figures of merit, in plasma-enhanced atomic layer deposition (PEALD) grown silicon nitride (SiNx) films remain largely unresearched. Achieving a low WER of a SiNx film is especially significant in its use as an etch stopper for technology beyond 7 nm node semiconductor processing. Herein, we explore the correlation between the hydrogen concentration, hydrogen bonding states, bulk film density, residual impurity concentration, and the WERs of PEALD SiNx using Fourier transform infrared spectrometry, X-ray reflectivity, and spectroscopic ellipsometry, etc. PEALD SiNx films for this study were deposited using hexachlorodisilane and hollow cathode plasma source under a range of process temperatures (270–360 °C) and plasma gas compositions (N2/NH3 or Ar/NH3) to understand the influence of hydrogen concentration, hydrogen bonding states, bulk film density, and residual impurity concentration on the WER. Va...
- Published
- 2018
- Full Text
- View/download PDF
15. Hollow Cathode Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using Pentachlorodisilane
- Author
-
Jiyoung Kim, Jeanette Young, Su Min Hwang, Young-Chul Byun, Telgenhoff Michael D, Xiaobing Zhou, Joy S. Lee, Byung Keun Hwang, Xin Meng, Harrison Sejoon Kim, and Antonio T. Lucero
- Subjects
010302 applied physics ,Materials science ,Hexachlorodisilane ,Analytical chemistry ,02 engineering and technology ,Atmospheric temperature range ,021001 nanoscience & nanotechnology ,01 natural sciences ,Cathode ,law.invention ,chemistry.chemical_compound ,Atomic layer deposition ,chemistry ,X-ray photoelectron spectroscopy ,Silicon nitride ,law ,0103 physical sciences ,General Materials Science ,Fourier transform infrared spectroscopy ,0210 nano-technology ,Refractive index - Abstract
In this work, a novel chlorodisilane precursor, pentachlorodisilane (PCDS, HSi2Cl5), was investigated for the growth of silicon nitride (SiNx) via hollow cathode plasma-enhanced atomic layer deposition (PEALD). A well-defined self-limiting growth behavior was successfully demonstrated over the growth temperature range of 270–360 °C. At identical process conditions, PCDS not only demonstrated approximately >20% higher growth per cycle than that of a commercially available chlorodisilane precursor, hexachlorodisilane (Si2Cl6), but also delivered a better or at least comparable film quality determined by characterizing the refractive index, wet etch rate, and density of the films. The composition of the SiNx films grown at 360 °C using PCDS, as determined by X-ray photoelectron spectroscopy, showed low O content (∼2 at. %) and Cl content (
- Published
- 2018
- Full Text
- View/download PDF
16. Low-thermal-budget (300 °C) ferroelectric TiN/Hf0.5Zr0.5O2/TiN capacitors realized using high-pressure annealing
- Author
-
Si Joon Kim, Yong Chan Jung, Jaidah Mohan, Hyo Jeong Kim, Sung Min Rho, Min Seong Kim, Jeong Gyu Yoo, Hye Ryeon Park, Heber Hernandez-Arriaga, Jin-Hyun Kim, Hyung Tae Kim, Dong Hyun Choi, Joohye Jung, Su Min Hwang, Harrison Sejoon Kim, Hyun Jae Kim, and Jiyoung Kim
- Subjects
Physics and Astronomy (miscellaneous) - Published
- 2021
- Full Text
- View/download PDF
17. Investigation on etching characteristics of Pd thin films using CH 3 COOH/Ar gas
- Author
-
Jae Sang Choi, Doo Hyeon Cho, Chee Won Chung, Jae Yong Lee, and Su Min Hwang
- Subjects
010302 applied physics ,Chemistry ,Metals and Alloys ,Analytical chemistry ,02 engineering and technology ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electron spectroscopy ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,X-ray photoelectron spectroscopy ,Etching (microfabrication) ,Sputtering ,0103 physical sciences ,Materials Chemistry ,Thin film ,Inductively coupled plasma ,Reactive-ion etching ,0210 nano-technology ,Spectroscopy - Abstract
Inductively coupled plasma reactive ion etching of Pd thin films with TiN hard masks was carried out in a CH 3 COOH/Ar gas mixture. The addition of CH 3 COOH to Ar gas decreased the etch rate but a good etch profile with a high degree of anisotropy was obtained at 50% CH 3 COOH/Ar. Variations in the etch parameters showed that high inductively coupled plasma power and dc-bias voltage improved the etch profile. X-ray photoelectron spectroscopy and optical emission spectroscopy results revealed that the Pd films in CH 3 COOH/Ar gas followed the sputtering etch mechanism assisted by the oxidation and sidewall protection provided by polymer films.
- Published
- 2017
- Full Text
- View/download PDF
18. Anisotropic etching of CoFeB magnetic thin films in C 2 H 5 OH/Ar plasma
- Author
-
Adrian Adalberto Garay, Doo Hyeon Cho, Jae Sang Choi, Jae Yong Lee, Chee Won Chung, and Su Min Hwang
- Subjects
010302 applied physics ,Materials science ,technology, industry, and agriculture ,Metals and Alloys ,Analytical chemistry ,02 engineering and technology ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electron spectroscopy ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,X-ray photoelectron spectroscopy ,Sputtering ,Etching (microfabrication) ,0103 physical sciences ,Materials Chemistry ,Thin film ,Reactive-ion etching ,Inductively coupled plasma ,0210 nano-technology ,Spectroscopy - Abstract
The etch characteristics of TiN hard mask-patterned CoFeB thin films were investigated by inductively coupled plasma reactive ion etching in an C2H5OH/Ar gas mixture. The effects of gas mixture concentration, rf coil power, dc bias voltage, and process pressure on the etch profile were investigated. Etch profiles with a high degree of anisotropy were achieved with C2H5OH concentrations from 25% to 50%. Further increases in the C2H5OH concentration decreased the degree of anisotropy of the etch profile. The etch profile improved as the rf coil power and dc bias increased and the process pressure decreased. Optical emission spectroscopy revealed the presence of [C], [H], [O], and [Ar] species, among others, in the plasma, while X-ray photoelectron spectroscopy analysis of the CoFeB thin film surface revealed the presence of Co, Fe, and B oxides, and the deposition of carbon-containing compounds. Results suggest that the etch mechanism is driven by physical sputtering, assisted by oxidation of the films and formation of a CxHy inhibition layer.
- Published
- 2017
- Full Text
- View/download PDF
19. Dry etching of palladium thin films in high density plasmas of CH3OH/Ar, C2H5OH/Ar, CH4/Ar, and CH4/O2/Ar gas mixtures
- Author
-
Jae Sang Choi, Doo Hyun Cho, Su Min Hwang, Chee Won Chung, and Jae Yong Lee
- Subjects
010302 applied physics ,Plasma etching ,Chemistry ,Metals and Alloys ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,01 natural sciences ,Electron spectroscopy ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,X-ray photoelectron spectroscopy ,Etching (microfabrication) ,0103 physical sciences ,Materials Chemistry ,Dry etching ,Thin film ,0210 nano-technology ,Spectroscopy ,Tin - Abstract
High density plasma etching of Pd thin films masked with TiN films was performed using CH3OH/Ar, C2H5OH/Ar, CH4/Ar, and CH4/O2/Ar gas mixtures. The etch rates of the Pd films and TiN masks in all the gas mixtures decreased whereas the etch selectivities increased. The etch profiles of the Pd films etched under C2H5OH/Ar gas were better than those obtained with CH3OH/Ar gas. Addition of O2 gas to the CH4/Ar gas mixture considerably improved the etch profiles of the Pd films. CH4, Ar, and O2 were found to play a critical role in obtaining a vertical etch profile with smooth sidewalls. Energy dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy revealed the formation of polymeric layers and PdOx compounds on the sidewalls and the film surface. Good etch profiles with a high degree of anisotropy were achieved with the use of C2H5OH/Ar and CH4/O2/Ar gas mixtures.
- Published
- 2017
- Full Text
- View/download PDF
20. A Novel Combinatorial Approach to the Ferroelectric Properties in Hf x Zr 1− x O 2 Deposited by Atomic Layer Deposition
- Author
-
Jaidah Mohan, Su Min Hwang, Jin-Hyun Kim, Dan N. Le, Jiyoung Kim, Jean François Veyan, Yong Chan Jung, Harrison Sejoon Kim, Si Joon Kim, Heber Hernandez-Arriaga, Rino Choi, Namhoon Kim, and Akshay Sahota
- Subjects
Atomic layer deposition ,Materials science ,X-ray photoelectron spectroscopy ,Annealing (metallurgy) ,X-ray crystallography ,Analytical chemistry ,General Materials Science ,Dielectric ,Thin film ,Nitride ,Condensed Matter Physics ,Ferroelectricity - Published
- 2021
- Full Text
- View/download PDF
21. Highly anisotropic etching of Ta thin films using high density plasmas of halogen based gases
- Author
-
Adrian Adalberto Garay, Su Min Hwang, Ji Hyun Choi, Jai Yong Lee, and Chee Won Chung
- Subjects
010302 applied physics ,fungi ,technology, industry, and agriculture ,Tantalum ,Analytical chemistry ,chemistry.chemical_element ,macromolecular substances ,02 engineering and technology ,Photoresist ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Surfaces, Coatings and Films ,stomatognathic system ,X-ray photoelectron spectroscopy ,chemistry ,Etch pit density ,Etching (microfabrication) ,0103 physical sciences ,Inductively coupled plasma ,Reactive-ion etching ,Thin film ,0210 nano-technology ,Instrumentation - Abstract
Inductively coupled plasma reactive ion etching of Ta thin films masked with photoresist was performed using C2F6/Ar, HBr/Ar and Cl2/Ar gases. The etch characteristics such as etch rate, etch selectivity and etch profile were investigated in different gas concentrations of each gas. The Cl2 chemistry showed high degree of anisotropy in etch profile as well as fastest etch rate and highest etch selectivity of Ta films among these gases. Optical emission spectroscopy revealed that the increase in chlorine radicals with increasing Cl2 concentration was responsible for the increase in the Ta etch rate. The etch rate of Ta films increased with increasing ICP rf power and dc-bias voltage, and decreasing process pressure. Good etch profiles were obtained at high rf power and dc-bias voltage, and low process pressure. X-ray photoelectron spectroscopy confirmed the existence of a chemical reaction of Ta with chlorine radicals by forming tantalum chlorides. These results suggested that Ta etching in Cl2/Ar follows the typical reactive ion etching mechanism. It was concluded that a high degree of anisotropy and high etch rate of Ta films were achieved using Cl2/Ar gas.
- Published
- 2016
- Full Text
- View/download PDF
22. Etch characteristics of Ru thin films using O2/Ar, CH4/Ar, and O2/CH4/Ar plasmas
- Author
-
Ji Hyun Choi, Chee Won Chung, Su Min Hwang, and Adrian Adalberto Garay
- Subjects
010302 applied physics ,Materials science ,Metals and Alloys ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Surfaces and Interfaces ,021001 nanoscience & nanotechnology ,01 natural sciences ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,Etch pit density ,X-ray photoelectron spectroscopy ,chemistry ,Etching (microfabrication) ,0103 physical sciences ,Materials Chemistry ,Inductively coupled plasma ,Reactive-ion etching ,Thin film ,0210 nano-technology ,Selectivity ,Tin - Abstract
The etch characteristics of Ru thin films patterned with TiN hard masks in O 2 /Ar, CH 4 /Ar and O 2 /CH 4 /Ar gas mixtures were studied using inductively coupled plasma reactive ion etching. When the Ru films were etched in O 2 /Ar gas, the etch rates of the Ru films and TiN hard masks decreased but the etch selectivity of the Ru films to the hard mask increased with increasing O 2 concentration. The etch profiles of Ru films at various O 2 concentrations were very similar, showing high etch slopes of > 80° with uneven sidewalls. In the case of CH 4 /Ar gas, as the CH 4 concentration increased, the etch rates of the Ru films and TiN hard masks and the etch selectivity of Ru films decreased. The etch profile of Ru films etched in 20% CH 4 /Ar showed the etch slope of approximately 60° and the etch slopes became worse with increasing CH 4 concentration. The addition of O 2 to the CH 4 /Ar gas resulted in a significant improvement in the etch profile, but both etch rates decreased slightly. Optical emission spectroscopy of O 2 /CH 4 /Ar plasma showed that O species could oxidize the Ru films to RuO x , and that inhibition layers containing C or CH x were formed, which protected the pattern sidewalls. X-ray photoelectron spectroscopy also identified the formation of RuO x and C or CH x compounds on the film surface. These findings indicated that Ru etching in O 2 /CH 4 /Ar gas chemistry could provide a good etch profile without redeposition or etch residues.
- Published
- 2016
- Full Text
- View/download PDF
23. Cleaning and Passivation of Copper Surface Using N2H4, and Self-Assembled Monolayers for Area-Selective Atomic Layer Deposition (AS-ALD) Applications
- Author
-
Jinho Ahn, Sang Woo Kim, Daniel Alvarez, Jiyoung Kim, Jeff Spiegelman, Su Min Hwang, Rino Choi, Yong Chan Jung, Jinhyun Kim, and Jean François Veyan
- Subjects
Atomic layer deposition ,Materials science ,chemistry ,Passivation ,Chemical engineering ,chemistry.chemical_element ,Self-assembled monolayer ,Copper - Abstract
Copper is widely used in the semiconductor industry as interconnects due to its low resistivity, high resistance to electromigration, low temperature coefficient of resistance, and good thermal stability (1). As advanced nanoelectronics has moved towards the sub-5 nm node technology and beyond, the back-end of line interconnects process is scaled down to smaller pitch sizes with a lot of challenges (2,3). Recently, area-selective atomic layer deposition (AS-ALD) by locally passivating the surface has garnered attention as it can lead to a paradigm shift from today’s top-down VLSI fabrication by not only reducing the number of processing steps but also by alleviating key challenges associated with lithography and layer alignment at the sub-5 nm node (4). However, there are still several challenging factors to implement them in the process node successfully. Despite the enormous scientific effort in recent years, lack of surface science during cleaning and passivation of Cu surfaces impede the development of AS-ALD. Specifically, the initial surface condition of Cu films can affect not only a cleaning surface (i.e., adventitious contaminants and CuxO) but also further passivation process using a self-assembled monolayer (SAMs). Recently, the reduction of the Cu surface using vapor-phase N2H4 has been reported due to its higher reduction capability (4,5). Herein, the effect of the initial surface condition of Cu samples on both cleaning and passivation of the surfaces was investigated. Electroplated Cu films were pretreated using anhydrous N2H4 for vapor phase surface cleaning. Reflectance absorption infrared spectroscopy (RAIRS) with ALD capability was employed to elucidate the surface chemistry. During surface cleaning, N2H4 reduces the surface oxide (Cu2O) to metallic copper as well as remove adventitious surface contaminants (e.g., –CHx, –CO3, and –OH). In the case of cleaning with CH3COOH, the Cu surface reduces the surface oxide (Cu2O) to metallic copper, importantly the copper acetate which might be the intermediate material was formed after cleaning. After pretreatment, each Cu sample was immersed into 1 mM octadecanethiols (ODTs) in ethanol for 20 hours, then ALD of AlOx using TMA and H2O was performed at 120 oC. The N2H4-treated Cu sample shows better physical and chemical stability during ALD process, resulting in good selectivity compared to the SAMs on the as-is Cu. The detailed experimental results will be presented. This work is supported by Rasirc Inc. by providing the anhydrous N2H4. This work was also partly supported by the Fostering Global Talents for Innovative Growth Program (No. P0008750) through KIAT and MOTIE. J. Ahn and J. Kim also acknowledge partial financial supports by Brain Pool Program through National Research Foundation by the Ministry of Science and ICT in Korea (Grant #: 2019H1D3A2A01101691). R. P. Chaukulkar, N. F. W. Thissen, V. R. Rai, and S. Agarwal, J. Vac. Sci. Technol. A, 32, 01A108 (2014). L. F. Pena, J. F. Veyan, M. A. Todd, A. Derecskei-Kovacs, and Y. J. Chabal, ACS Appl. Mater. Interfaces, 10, 38610–38620 (2018). M. He et al., J. Electrochem. Soc., 160, D3040–D3044 (2013). D. M. Littrell, D. H. Bowers, and B. J. Tatarchuk, J. Chem. Soc. Faraday Trans. 1 Phys. Chem. Condens. Phases, 83, 3271–3282 (1987). S. M. Hwang et al., ECS Trans., 92, 265–271 (2019). Figure 1
- Published
- 2020
- Full Text
- View/download PDF
24. Ozone based high-temperature atomic layer deposition of SiO2thin films
- Author
-
Zhiyang Qin, Su Min Hwang, Jinho Ahn, Arul Vigneswar Ravichandran, Byung Keun Hwang, Yong Chan Jung, Si Joon Kim, Harrison Sejoon Kim, and Jiyoung Kim
- Subjects
010302 applied physics ,Ozone ,Materials science ,Physics and Astronomy (miscellaneous) ,Thermal decomposition ,General Engineering ,Analytical chemistry ,General Physics and Astronomy ,Chemical vapor deposition ,01 natural sciences ,chemistry.chemical_compound ,Atomic layer deposition ,chemistry ,Plasma-enhanced chemical vapor deposition ,0103 physical sciences ,Thin film ,Saturation (chemistry) ,Deposition (chemistry) - Abstract
In this paper, atomic layer deposition of SiO2 thin films was investigated with Si2Cl6 and O3/O2 (400 g m−3). O3/O2 is not preferred for high-temperature (>400 °C) processes due to its lower decomposition temperature, especially in a furnace-type chamber. However, with Si oxidation test using a cold-wall chamber, we have demonstrated the reactivity of O3/O2 up to 800 °C in comparison with O2 and H2O. The ALD of SiO2 films was examined at deposition temperatures from 500 °C to 700 °C. The growth rate at 600 °C was saturated to 0.03 nm/cycle with Si2Cl6 exposure over 1.2 × 105 L. O3/O2 also showed ALD-like saturation behaviors for exposures over 2.4 × 106 L. The ALD films deposited at 600 °C exhibited relatively smooth surface roughness (
- Published
- 2020
- Full Text
- View/download PDF
25. Inductively coupled plasma reactive ion etching of CoFeB magnetic thin films in a CH3COOH/Ar gas mixture
- Author
-
Su Min Hwang, Byoung-Chul Min, Chee Won Chung, Adrian Adalberto Garay, and Ji Hyun Choi
- Subjects
Materials science ,fungi ,technology, industry, and agriculture ,Analytical chemistry ,chemistry.chemical_element ,macromolecular substances ,Condensed Matter Physics ,Surfaces, Coatings and Films ,stomatognathic system ,chemistry ,X-ray photoelectron spectroscopy ,Etching (microfabrication) ,Sputtering ,Inductively coupled plasma ,Thin film ,Reactive-ion etching ,Tin ,Instrumentation ,Layer (electronics) - Abstract
The etch characteristics of TiN hard mask patterned CoFeB thin films were investigated using an inductively coupled plasma reactive ion etching in a CH3COOH/Ar gas mixture. The etch characteristics of CoFeB magnetic thin film and TiN hard masks were investigated as a function of gas mixture concentration, coil rf power, dc-bias voltage and gas pressure. As CH3COOH concentration in the CH3COOH/Ar gas mixture increased, the etch rates of CoFeB films and degree of anisotropy in the etch profile decreased, while increased coil rf-power and dc bias voltage and reduced gas pressure increased the etch rate and improved the etch profile. Additionally, a thick hydrocarbon layer was formed on the film surface at a dc-bias voltage of 100 V. X-ray photoelectron spectroscopy and optical emission spectroscopy analyses of the etched films at various CH3COOH concentrations suggest that CoFeB thin films etched in a CH3COOH/Ar gas mixture follow a physical sputtering etch mechanism assisted by oxidation of the film and formation of a protective inhibition layer on the film surface. Etching of TiN patterned CoFeB films with a high degree of anisotropy was accomplished without redepositions or etch residues when conducted under high sputtering conditions.
- Published
- 2015
- Full Text
- View/download PDF
26. Inductive couple plasma reactive ion etching characteristics of TiO2 thin films
- Author
-
Su Min Hwang, Chee Won Chung, and Adrian Adalberto Garay
- Subjects
Scanning electron microscope ,Chemistry ,Metals and Alloys ,Analytical chemistry ,Surfaces and Interfaces ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,X-ray photoelectron spectroscopy ,Etch pit density ,Etching (microfabrication) ,Materials Chemistry ,Hydrobromic acid ,Inductively coupled plasma ,Thin film ,Reactive-ion etching - Abstract
Changes in the inductively coupled plasma reactive ion etching characteristics of TiO 2 thin films in response to the addition of HBr, Cl 2 and C 2 F 6 to Ar gas were investigated. As the HBr, Cl 2 and C 2 F 6 concentration increased, the etch rate increased; however, the etch profile degree of anisotropy followed a different trend. As HBr concentration increased, the greatest anisotropic etch profile was obtained at 100% HBr, while the greatest anisotropic etch profile was obtained at concentrations of 25% when etching was conducted under C 2 F 6 and Cl 2 . Field emission scanning electron microscopy revealed that 25% C 2 F 6 generated the greatest vertical etch profile; hence, etch parameters were varied at this concentration. The effects of rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were also investigated. The etch rate and degree of anisotropy in the etch profile increased with increasing rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy analysis of the films etched under a C 2 F 6 /Ar gas mixture revealed the existence of etch byproducts containing F (i.e. TiF x ) over the film. C x F y compounds were not detected on the film surface, probably due to contamination with atmospheric carbon.
- Published
- 2015
- Full Text
- View/download PDF
27. High density plasma reactive ion etching of Ru thin films using non-corrosive gas mixture
- Author
-
Su Min Hwang, Adrian Adalberto Garay, Chee Won Chung, and Wan In Lee
- Subjects
Materials science ,Metals and Alloys ,Analytical chemistry ,chemistry.chemical_element ,Surfaces and Interfaces ,Electron spectroscopy ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,chemistry ,X-ray photoelectron spectroscopy ,Etching (microfabrication) ,Sputtering ,Materials Chemistry ,Thin film ,Reactive-ion etching ,Inductively coupled plasma ,Tin - Abstract
Inductively coupled plasma reactive ion etching (ICPRIE) of Ru thin films patterned with TiN hard masks was investigated using a CH3OH/Ar gas mixture. As the CH3OH concentration in CH3OH/Ar increased, the etch rates of Ru thin films and TiN hard masks decreased. However, the etch selectivity of Ru films on TiN hard masks increased and the etch slope of Ru film improved at 25% CH3OH/Ar. With increasing ICP radiofrequency power and direct current bias voltage and decreasing process pressure, the etch rates of Ru films increased, and the etch profiles were enhanced without redeposition on the sidewall. Optical emission spectroscopy and X-ray photoelectron spectroscopy were employed to analyze the plasma and surface chemistry. Based on these results, Ru thin films were oxidized to RuO2 and RuO3 compounds that were removed by sputtering of ions and the etching of Ru thin films followed a physical sputtering with the assistance of chemical reaction.
- Published
- 2015
- Full Text
- View/download PDF
28. Dry etching of Co 2 MnSi magnetic thin films using a CH 3 OH/Ar based inductively coupled plasma
- Author
-
Chee Won Chung, Su Min Hwang, and Adrian Adalberto Garay
- Subjects
Materials science ,fungi ,technology, industry, and agriculture ,Analytical chemistry ,chemistry.chemical_element ,macromolecular substances ,Plasma ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Tunnel magnetoresistance ,stomatognathic system ,Etch pit density ,chemistry ,Etching (microfabrication) ,Dry etching ,Thin film ,Inductively coupled plasma ,Tin ,Instrumentation - Abstract
The inductively coupled plasma etching characteristics of Co2MnSi thin films patterned using a TiN hard mask were investigated by the addition of CH3OH to Ar gas. As the CH3OH concentration increased, the etch rates of Co2MnSi magnetic thin films and TiN hard mask decreased, but the etch profile improved. The effects of rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were also investigated. The etch rate and etch profile degree of anisotropy increased with increasing rf power and dc-bias voltage and decreasing gas pressure. Optical emission spectroscopy analysis revealed that [H], [O], [CO], [OH], [CH3O] and [Ar] species in the CH3OH/Ar plasma played a key role in achieving a good etch profile.
- Published
- 2015
- Full Text
- View/download PDF
29. Etch characteristics of CoFeB thin films and magnetic tunnel junction stacks in a H2O/CH3OH plasma
- Author
-
Chee Won Chung, Adrian Adalberto Garay, Su Min Hwang, and Il Hoon Lee
- Subjects
Field electron emission ,Tunnel magnetoresistance ,Materials science ,Transmission electron microscopy ,General Chemical Engineering ,Analytical chemistry ,General Chemistry ,Plasma ,Inductively coupled plasma ,Reactive-ion etching ,Thin film ,Anisotropy - Abstract
Inductively coupled plasma reactive ion etching of CoFeB thin films and magnetic tunnel junction (MTJ) stacks with nanometer-sized patterns was performed using H2O/CH3OH gas mixture. As the CH3OH concentration in H2O/CH3OH increased, the etch rate of both the CoFeB films and MTJ stacks increased, but the etch selectivity decreased while the etch profiles of CoFeB films and MTJ stacks improved. Field emission transmission electron microscopy observation clearly revealed that the MTJ stacks etched at 75% CH3OH in H2O/CH3OH gas mixture showed a good etch profile without any redeposition and a high degree of anisotropy. These results indicate that there were some chemical reactions between CH X in H2O/CH3OH plasma and the films that comprise the MTJ stacks, such as CoFeB magnetic films. It was confirmed that H2O/CH3OH gas mixture could be a good etch gas in attaining a high etch rate and high degree of anisotropy in the etch profile.
- Published
- 2014
- Full Text
- View/download PDF
30. Peptide Profiling and Selection of Specific-Expressed Peptides in Hypoglycemic Sorghum Seed using SELDI-TOF MS
- Author
-
Tae Wan Kim, Su Min Hwang, Sei Joon Park, Jun Young Park, and Jee-Yeon Ko
- Subjects
chemistry.chemical_classification ,biology ,business.industry ,Plant composition ,Peptide ,Sorghum ,biology.organism_classification ,Biotechnology ,Biochemistry ,chemistry ,SELDI-TOF-MS ,Crop quality ,business ,Sweet sorghum - Published
- 2014
- Full Text
- View/download PDF
31. Variation of Fractionated Protein Content by Solubility in Korean Local Sorghum Seed
- Author
-
Jun Young Park, Sei Joon Park, Myung Chul Seo, Tae Wan Kim, and Su Min Hwang
- Subjects
biology ,Agronomy ,Globulin ,Glutelin ,biology.protein ,Fractionation ,Cultivar ,Prolamin ,Solubility ,Sorghum ,biology.organism_classification ,Sweet sorghum - Abstract
Sorghum seed is traditionally used as health supplements and the secondary food mixed with rice in Korea. While the research of reserve protein in sorghum seed have been carried out in many countries used as major food, much less is known about reserve proteins of Korean local sorghum seeds. To obtain protein characteristics in 20 Korean local sorghum seed, quantitative content of reserve protein was determined after fractionation by modified ‘Osbone’ method and α-kafirin of prolamin was determined by SDS- PAGE. Mean albumin, globulin, prolamin and glutelin contents based on total seed protein content of 20 Korean local sorghum seed were 6.2%, 0.9%, 57.9% and 35.1%, respectively. Sorghum cultivar with high prolamin were ‘Whin-susu’, ‘Whin-Chalsusu’, ‘Whanggeum-Chalsusu’, and ‘Daepungshushu’. Sorghum cultivar with high α-kafirin were ‘Whin-susu’, ‘Geumsan-Chalsusu’, ‘Whin-Chalsusu’, and ‘Jangmok-susu’. Among the 20 varieties, ‘Whin-susu’ and ‘Whin-Chalsusu’ were selected as high α-kafirin and prolamin sorghum cultivar, which showed 64.5 and 71.9% of prolamin contents, respectively.
- Published
- 2014
- Full Text
- View/download PDF
32. Etch characteristics of MgO thin films in Cl2/Ar, CH3OH/Ar and CH4/Ar plasmas
- Author
-
Tea Young Lee, Su Min Hwang, Il Hoon Lee, and Chee Won Chung
- Subjects
Plasma etching ,Hydrogen ,Chemistry ,Magnesium ,fungi ,technology, industry, and agriculture ,Analytical chemistry ,chemistry.chemical_element ,macromolecular substances ,Condensed Matter Physics ,Surfaces, Coatings and Films ,stomatognathic system ,X-ray photoelectron spectroscopy ,Etching (microfabrication) ,Sputtering ,Dry etching ,Thin film ,Instrumentation - Abstract
High density plasma etching of MgO thin films patterned with Ti (or TiN) hard masks was conducted using Cl2/Ar, CH3OH/Ar and CH4/Ar gases. As the concentration of each gas increased, the etch rate of MgO thin films decreased and there was no enhancement of etch profiles except for those obtained using CH4/Ar gas. The etch profiles of MgO thin films under CH4/Ar gas, which is non-corrosive and non-toxic, were improved without redeposition with increasing CH4 concentration. The enhancement of etch profiles in CH4/Ar gas was attributed to both the formation of a protective layer containing hydrogen and hydroxyl species on the sidewall of the patterns and the formation of magnesium compounds during the etching. Optical emission spectroscopy and X-ray photoelectron spectroscopy analyses showed that magnesium hydroxide was formed due to chemical reactions on the film surface under CH4/Ar gas. Additionally, the MgO thin films were found to be etched by a physical sputtering etching mechanism that was influenced by a chemical reaction.
- Published
- 2014
- Full Text
- View/download PDF
33. Effect of hydrogen derived from oxygen source on low-temperature ferroelectric TiN/Hf0.5Zr0.5O2/TiN capacitors
- Author
-
Tamer San, Dushyant Narayan, Pil-Ryung Cha, Jiyoung Kim, Harrison Sejoon Kim, Su Min Hwang, Alan S. Wan, Chadwin D. Young, Gary Goodman, Jaidah Mohan, Si Joon Kim, Jae-Gil Lee, Luigi Colombo, Jaebeom Lee, and Scott R. Summerfelt
- Subjects
010302 applied physics ,Materials science ,Physics and Astronomy (miscellaneous) ,Hydrogen ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Ferroelectricity ,Oxygen ,Atomic layer deposition ,chemistry ,0103 physical sciences ,Electrode ,Orthorhombic crystal system ,0210 nano-technology ,Tin ,Monoclinic crystal system - Abstract
The ferroelectric (FE) properties of 10-nm-thick Hf0.5Zr0.5O2 (HZO) films deposited by an atomic layer deposition technique were improved by adopting O3 as an oxygen source instead of H2O. All HZO films were annealed at 400 °C for 1 min in an N2 atmosphere after TiN top electrode deposition. Regardless of the oxygen source, the HZO films exhibited the formation of a noncentrosymmetric orthorhombic phase, which is responsible for FE behavior with the suppression of the monoclinic phase. However, compared to the O3-based HZO film, it was confirmed that the H2O-based HZO film was more incorporated with hydrogen derived from H2O, thereby degrading FE polarization and leakage behavior. The results indicate that the strategy of using O3 as the oxygen source is useful for the fabrication and integration of FE HZO films for next-generation memory applications.
- Published
- 2019
- Full Text
- View/download PDF
34. Hollow Cathode Plasma (HCP) Enhanced Atomic Layer Deposition of Silicon Nitride (SiNx) Thin Films Using Pentachlorodisilane (PCDS)
- Author
-
Su Min Hwang, Aswin L. N. Kondusamy, Qin Zhiyang, Harrison S. Kim, Jiyoung Kim, Byung Keun Hwang, Xiaobing Zhou, Michael Telgenhoff, and Jeanette Young
- Abstract
Silicon nitride (SiNx) films have drawn great attention due to the wide range of applications such as passivation layer, gate dielectric, spacer, charge trap layer, and diffusion barrier [1]. Conventionally used LPCVD and PECVD for SiNx deposition have limitations in terms of conformal deposition and thickness scalability. Since plasma enhanced atomic layer deposition (PEALD) is expected to overcome these shortcomings, several research groups have reported SiNx film deposition. A number of studies on PEALD SiNx process using various chlorine-containing silicon precursors have been reported due to their ease in synthesis as well as good thermal stability [2]. Recently, PEALD SiNx film using a novel chlorosilane precursor, pentachlorodisilane (PCDS, HSi2Cl5) with NH3/N2 plasma was reported [3]. Under the NH3/N2 plasma condition, PCDS enhanced the growth rate (approximately > 20 %) compared to the hexachlorodisilane (HCDS, Si2Cl6), but still showed relatively poor wet etch resistance. Inspired the film characteristics, we propose to explore the feasibility of improving the wet etch resistance of PEALD-SiNx films using the different gas mixture for plasma. It has been reported that the wet etch rate of SiNx films has a linear relationship with the hydrogen concentration in the films [1]. Compared to NH3/N2 plasma, N2-H2 (forming gas) plasma improves the wet etch rate possibly due to less N-H and H-N-H bonds in the SiNx films [2]. Herein, PEALD SiNx films using PCDS as Si precursor and N2-H2 (10 % forming gas) plasma as the reactant were evaluated. The combination with PCDS and N2-H2 plasma showed a relatively lower (approximately < 10 %) growth rate than NH3/N2 plasma under a range of process temperatures (270−300 °C) whereas the wet etch resistance to HF acid was improved (> 1.5 nm/min, 500:1 HF). Studying these results, we suggest the effect of hydrogen on film properties such as growth rate, film composition, and wet etch resistance. [1] H.S. Kim, X. Meng, S.J. Kim, A.T. Lucero, L. Cheng, Y.C. Byun, J.S. Lee, S.M. Hwang, A.L.N. Kondusamy, R.M. Wallace, G. Goodman, A.S. Wan, M. Telgenhoff, B.K. Hwang, and J. Kim, ACS Appl. Mater. Interfaces 10, 44825 (2018). [2] X. Meng, Y.C. Byun, H.S. Kim, J.S. Lee, A.T. Lucero, L. Cheng, and J. Kim, Materials 9, 1007 (2016). [3] X. Meng, H.S. Kim, A.T. Lucero, S.M. Hwang, J.S. Lee, Y.C. Byun, J. Kim, B.K. Hwang, X. Zhou, J. Young, and M. Telgenhoff, ACS Appl. Mater. Interfaces 10, 14116 (2018). Figure 1
- Published
- 2019
- Full Text
- View/download PDF
35. Inductively Coupled Plasma Reactive Ion Etching of Magnetic Tunnel Junction Stacks in a CH3COOH/Ar Gas
- Author
-
Su Min Hwang, Adrian Adalberto Garay, Chee Won Chung, and Ji Hyun Choi
- Subjects
Tunnel magnetoresistance ,Materials science ,Analytical chemistry ,Electrical and Electronic Engineering ,Inductively coupled plasma ,Reactive-ion etching ,Electronic, Optical and Magnetic Materials - Published
- 2015
- Full Text
- View/download PDF
36. Photochemical Response Analysis on Drought Stress for Red Pepper (Capsiumannuum L.)
- Author
-
Min-Ju Lee, Sohyun Park, Sung-Yung Yoo, Tae-Seok Ko, Yongho Lee, Kyong-mi Choi, A-Ram Kim, Su-Min Hwang, June-Young Park, and Tae Wan Kim
- Subjects
Pheophytin ,Photosynthetic reaction centre ,chemistry.chemical_compound ,chemistry ,Photosystem II ,Chlorophyll ,Analytical chemistry ,Quantum yield ,Kautsky effect ,Photochemistry ,Electron transport chain ,Chlorophyll fluorescence - Abstract
The aim of this study is to determine the drought stress index through photochemical analysis in red pepper (Capsiumannuum L.). The photochemical interpretation was performed in the basis of the relation between Kautsky effect and Photosystem II (PSII) following the measurement of chlorophyll, pheophytin contents, and CO₂ assimilation in drought stressed 5-week-old red pepper plants. The CO₂ assimilation rate was severely lowered with almost 77% reduction of chlorophyll and pheophytin contents at four days after non-irrigation. It was clearly observed that the chlorophyll fluorescence intensity rose from a minimum level (the O level), in less than one second, to a maximum level (the P-level) via two intermediate steps labeled J and I (OJIP process). Drought factor index (DFI) was also calculated using measured OJIP parameters. The DFI was -0.22, meaning not only the initial inhibition of PSII but also sequential inhibition of PSI . In real, most of all photochemical parameters such as quantum yield of the electron transport flux from Quinone A (QA) to Quinone B (QB), quantum yield of the electron transport flux until the PSI electron acceptors, quantum yield of the electron transport flux until the PSI electron acceptors, average absorbed photon flux per PSII reaction center, and electron transport flux until PSI acceptors per cross section were profoundly reduced except number of QA reducing reaction centers (RCs) per PSII antenna chlorophyll (RC/ABS). It was illuminated that at least 6 parameters related with quantum yield/efficiency and specific energy fluxes (per active PSII RC) could be applied to be used as the drought stress index. Furthermore, in the combination of parameters, driving forces (DF) for photochemical activity could be deduced from the performance index (PI) for energy conservation from photons absorbed by PSII antenna until the reduction of PSI acceptors. In conclusion, photochemical responses and their related parameters can be used as physiological DFI.
- Published
- 2013
- Full Text
- View/download PDF
37. A REMARK ON IFP RINGS
- Author
-
Yang Lee, Chang Hyeok Lee, Hyo Jin Lim, Jung Soo Kim, Su Min Hwang, Min Kyung Song, Si Hwan Kim, Jung Hyun Kim, Min Joon Jeong, Jae Hyoung Park, Tae Kang Eom, Min Jung Lee, and Sung Ju Ryu
- Subjects
Discrete mathematics ,Mathematics::Commutative Algebra ,Generalization ,Mathematics::Rings and Algebras ,Mathematics - Abstract
We continue the study of power-Armendariz rings over IFP rings, introducing $ k$ -power Armendariz rings as a generalization of power-Armendariz rings. Han et al. showed that IFP rings are 1-power Armendariz. We prove that IFP rings are 2-power Armendariz. We moreover study a relationship between IFP rings and $ k$ -power Armendariz rings under a condition related to nilpotency of coefficients.
- Published
- 2013
- Full Text
- View/download PDF
38. Low Temperature Thermal ALD of Silicon Nitride Utilizing a Novel High Purity Hydrazine Source
- Author
-
Daniel Alvarez, Keisuke Andachi, Antonio Tomas Lucero, Aswin Kondusamy, Su Min Hwang, Xin Meng, Harrison Kim, Jiyoung Kim, and Jeffrey Spiegelman
- Abstract
The demand for faster, smaller and more energy efficient logic devices as well as higher density, higher speed, and increased reliability for advanced memory devices has led to numerous challenges in Semiconductor device manufacturing. Novel metal materials, 3D architecture and increasing High-aspect-ratio (HAR) structures are being used to address these challenges, however this has placed additional constraints on film deposition methods. CVD and ALD of SiN are used in several applications including, gates, spacers, etch stops, liners, encapsulation layers as well as passivation layers.1 Recently PEALD of SiN is taking on an increasingly important role due to new temperature constraints of 3 may not be feasible due to the high temperature requirement (500°C-700°C) of these reactions.2 Our approach involves the development and use of a novel hydrazine delivery system in order to develop methods for thermal ALD of SiN at A hydrazine delivery system was developed to provide a stable flow of ultra-dry hydrazine gas from a liquid source in a sealed vaporizer. The liquid source combines anhydrous hydrazine and a proprietary solvent that acts as a stabilizer. The solvent is highly non-volatile, where high purity hydrazine gas may be generated in-situ and delivered to the deposition chamber while the solvent remains in the vaporizer. Testing confirms that hydrazine vapor pressure is maintained at levels viable for ALD (12-14 torr) even in the presence of the solvent used to dilute the liquid source to safe handling levels. Previous studies with hydrazine were plagued by oxygen contamination.3 This study demonstrates high purity hydrazine delivery at A study of silicon nitride deposition was conducted using hexacholorodisilane (HCDS) and hydrazine on a Si-H substrate. A custom made thermal ALD reactor was used to deposit silicon nitride films from 250-400°C. Film growth per cycle (GPC) with hydrazine was 0.4-0.5 Å/cycle at 400°C with refractive index of 1.813. Film stoichiometry was confirmed with X-ray photoelectron spectroscopy. SiN films with low impurities were achieved for oxygen (3. Film growth and resulting properties at 350°C closely resemble those grown thermally at 400°C for hexachlorodisilane and hydrazine. Some discrete differences in film density and composition start to become apparent at a growth temperature of 325°C. Specifically, film density decreases and wet etch rate increases. The presentation will compare growth rates, film density, refractive index and wet etch rate results at different temperatures for hydrogen terminated silicon. Initial growth studies with the use of Hydrazine and Organosilicon Amide precursors will also be discussed. References: X. Meng, Y.-C. Byun, H. Kim, J. Lee, A. T. Lucero, L. Cheng, J. Kim, Materials 9 (12) 1007 (2016) S. Morishita, S. Sugahara, M. Matsumura, Atomic-layer chemical-vapor-deposition of silicon-nitride. Appl. Surf. Sci. 1997, 112, 198–204. K. B. Ramos, R. K. Kanjolia, Y. K. Chabal, AVS 2013, Baltimore, Md., Paper TF + EM + NS + SS-ThA10.
- Published
- 2018
- Full Text
- View/download PDF
39. Influence of oxygen on characteristics of Zn(O,S) thin films deposited by RF magnetron sputtering
- Author
-
Adrian Adalberto Garay, Ji Hyun Choi, Chee Won Chung, and Su Min Hwang
- Subjects
Materials science ,Analytical chemistry ,Energy-dispersive X-ray spectroscopy ,chemistry.chemical_element ,Surfaces and Interfaces ,Sputter deposition ,Condensed Matter Physics ,Electron spectroscopy ,Oxygen ,Surfaces, Coatings and Films ,X-ray photoelectron spectroscopy ,chemistry ,Sputtering ,Limiting oxygen concentration ,Thin film - Abstract
Zn(O,S) thin films were successfully deposited by reactive sputtering using Ar and O2 gas mixtures at 473 K. X-ray diffraction patterns revealed that the well crystallized Zn(O,S) films were deposited with increasing oxygen concentration in O2/Ar, resulting in a shift of the Zn peak of 28.5° to a higher angle, closer to the ZnO peak of 34.4°. Zn(O,S) films were composed of grains agglomerated from small particles, which grew gradually with increasing oxygen concentration. The depth profiles and energy dispersive spectroscopy results of the films indicated that the O/(O+S) ratio increased from 0.04 to 0.81, and all Zn(O,S) films were Zn rich with uniform concentrations of each component. X-ray photoelectron spectroscopy revealed that, as the oxygen concentration increased to 2%, the ZnS films were transformed to Zn(O,S) films via substitution of oxygen for sulfur.
- Published
- 2015
- Full Text
- View/download PDF
40. Effect of O2 on etch characteristics of Co2MnSi thin films in CH4/O2/Ar gas mixture
- Author
-
Su Min Hwang, Adrian Adalberto Garay, and Chee Won Chung
- Subjects
Materials science ,Passivation ,Process Chemistry and Technology ,fungi ,technology, industry, and agriculture ,Analytical chemistry ,chemistry.chemical_element ,macromolecular substances ,Plasma ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,stomatognathic system ,X-ray photoelectron spectroscopy ,chemistry ,Materials Chemistry ,Electrical and Electronic Engineering ,Inductively coupled plasma ,Thin film ,Reactive-ion etching ,Tin ,Instrumentation ,Layer (electronics) - Abstract
Co2MnSi thin films masked with TiN films were etched in CH4/Ar and CH4/O2/Ar plasmas by inductively coupled plasma reactive ion etching. The etch rates decreased with increasing CH4 concentration in CH4/Ar gas, whereas the etch selectivity increased. The addition of O2 to CH4/Ar gas resulted in significant improvement in the etch profile but a decrease in the etch rates. Optical emission spectroscopy showed that a variety of COx, OH, and H2O species were formed in the CH4/O2/Ar plasma, acting a role as a passivation layer to protect the pattern sidewalls. X-ray photoelectron spectroscopy also confirmed the formation of metal oxides on the film surface, which could be sputtered off easily by Ar ion bombardment. A high degree of anisotropy of Co2MnSi films was obtained in the CH4/O2/Ar etch gas.
- Published
- 2015
- Full Text
- View/download PDF
41. Influence of oxygen on characteristics of Zn(O,S) thin films deposited by RF magnetron sputtering.
- Author
-
Ji Hyun Choi, Garay, Adrian Adalberto, Su Min Hwang, and Chee Won Chung
- Subjects
ZINC compounds ,MAGNETRON sputtering ,RADIOFREQUENCY sputtering ,X-ray diffraction ,CRYSTALLIZATION - Abstract
Zn(O,S) thin films were successfully deposited by reactive sputtering using Ar and O
2 gas mixtures at 473 K. X-ray diffraction patterns revealed that the well crystallized Zn(O,S) films were deposited with increasing oxygen concentration in O2 /Ar, resulting in a shift of the Zn peak of 28.5 to a higher angle, closer to the ZnO peak of 34.4°. Zn(O,S) films were composed of grains agglomerated from small particles, which grew gradually with increasing oxygen concentration. The depth profiles and energy dispersive spectroscopy results of the films indicated that the O/(OþS) ratio increased from 0.04 to 0.81, and all Zn(O,S) films were Zn rich with uniform concentrations of each component. X-ray photoelectron spectroscopy revealed that, as the oxygen concentration increased to 2%, the ZnS films were transformed to Zn(O,S) films via substitution of oxygen for sulfur. [ABSTRACT FROM AUTHOR]- Published
- 2015
- Full Text
- View/download PDF
42. Effect of O2 on etch characteristics of Co2MnSi thin films in CH4/O2/Ar gas mixture.
- Author
-
Su Min Hwang, Garay, Adrian Adalberto, and Chee Won Chung
- Subjects
FILM condensation ,SIZE effects in thin films ,ELLIPSOMETRY ,THICK films ,SURFACE coatings - Abstract
Co
2 MnSi thin films masked with TiN films were etched in CH4 /Ar and CH4 /O2 /Ar plasmas by inductively coupled plasma reactive ion etching. The etch rates decreased with increasing CH4 concentration in CH4 /Ar gas, whereas the etch selectivity increased. The addition of O2 to CH4 /Ar gas resulted in significant improvement in the etch profile but a decrease in the etch rates. Optical emission spectroscopy showed that a variety of COx , OH, and H2 O species were formed in the CH4 /O2 /Ar plasma, acting a role as a passivation layer to protect the pattern sidewalls. X-ray photoelectron spectroscopy also confirmed the formation of metal oxides on the film surface, which could be sputtered off easily by Ar ion bombardment. A high degree of anisotropy of Co2 MnSi films was obtained in the CH4 /O2 /Ar etch gas. [ABSTRACT FROM AUTHOR]- Published
- 2015
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.