Search

Your search keyword '"Bernard Previtali"' showing total 110 results

Search Constraints

Start Over You searched for: Author "Bernard Previtali" Remove constraint Author: "Bernard Previtali"
110 results on '"Bernard Previtali"'

Search Results

3. Guidelines for intermediate back end of line (BEOL) for 3D sequential integration.

4. Opportunities brought by sequential 3D CoolCube™ integration.

5. Recent advances in 3D VLSI integration.

8. 3D monolithic integration.

9. Wafer-scale fabrication of biologically sensitive Si nanowire FET: from pH sensing to electrical detection of DNA hybridization

10. Opportunities and challenges brought by 3D-sequential integration

12. 7-Levels-Stacked Nanosheet GAA Transistors for High Performance Computing

13. Confined selective lateral epitaxial growth of 16-nm thick Ge nanostructures on SOI substrates: Advantages and challenges

14. Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM

15. (Invited) Sequential 3D Process Integration: Opportunities for Low Temperature Processing

16. A review of the full 500°C low temperature technological modules development for high performance and reliable 3D Sequential Integration

17. Tunability of Parasitic Channel in Gate-All-Around Stacked Nanosheets

18. FDSOI bottom MOSFETs stability versus top transistor thermal budget featuring 3D monolithic integration

19. Local lateral integration of 16-nm thick Ge nanowires on silicon on insulator substrates

20. Performance and Design Considerations for Gate-All-Around Stacked-NanoWires FETs

21. Guidelines for intermediate back end of line (BEOL) for 3D sequential integration

22. Key process steps for high performance and reliable 3D Sequential Integration

23. Dense N over CMOS 6T SRAM cells using 3D Sequential Integration

24. Recent advances in low temperature process in view of 3D VLSI integration

25. Ns laser annealing for junction activation preserving inter-tier interconnections stability within a 3D sequential integration

26. Top-down fabrication and electrical characterization of Si and SiGe nanowires for advanced CMOS technologies

27. Influence of device architecture on junction leakage in low-temperature process FDSOI MOSFETs

28. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

29. Integration of Low Temperature 480℃ SiOCN as Offset Spacer in view of 3D Sequential Integration

30. Influence of Low Thermal Budget Plasma Oxidation and Millisecond Laser Anneal on Gate Stack Reliability in view of 3D Sequential Integration

31. Opportunities brought by sequential 3D CoolCube™ integration

32. First demonstration of a CMOS over CMOS 3D VLSI CoolCube™ integration on 300mm wafers

33. Recent advances in 3D VLSI integration

34. First integration of Ni0.9Co0.1 on pMOS transistors

35. 3D monolithic integration: Technological challenges and electrical results

36. Experimental Evidence of Sidewall Enhanced Transport Properties of Mesa-Isolated (001) Germanium-On-Insulator pMOSFETs

37. Enabling 3D Monolithic Integration

38. W and Copper Interconnection Stability for 3D VLSI CoolCube Integration

39. (Invited) Annealing Techniques for Low Temperature Junctions Design in a 3D VLSI Integration

40. 3DVLSI with CoolCube process: An alternative path to scaling

41. New insights on bottom layer thermal stability and laser annealing promises for high performance 3D VLSI

42. nFET FDSOI activated by low temperature solid phase epitaxial regrowth: Optimization guidelines

43. Monolithic 3D integration: A powerful alternative to classical 2D scaling

44. Experimental Evaluation of Gate Architecture Influence on DG SOI MOSFETs Performance

45. Towards the limits of conventional MOSFETs: case of sub 30 nm NMOS devices

46. A 20 nm physical gate length NMOSFET with a 1.2 nm gate oxide fabricated by mixed dry and wet hard mask etching

47. (Invited) Sequential 3D Process Integration: Opportunities for Low Temperature Processing

48. FDSOI bottom MOSFETs stability versus top transistor thermal budget featuring 3D monolithic integration

49. First demonstration of strained SiGe nanowires TFETs with ION beyond 700µA/µm

50. FDSOI to nanowires and single electron transistors

Catalog

Books, media, physical & digital resources