110 results on '"Bernard Previtali"'
Search Results
2. Wafer-scale fabrication of biologically sensitive Si nanowire FET: from pH sensing to electrical detection of DNA hybridization.
- Author
-
R. Midahuen, Bernard Previtali, C. Fontelaye, G. Nonglaton, Sylvain Barraud, and V. Stambouli
- Published
- 2021
- Full Text
- View/download PDF
3. Guidelines for intermediate back end of line (BEOL) for 3D sequential integration.
- Author
-
Claire Fenouillet-Béranger, S. Beaurepaire, Fabien Deprat, Alexandre Ayres De Sousa, Laurent Brunet, Perrine Batude, Olivier Rozeau, François Andrieu, Paul Besombes, M.-P. Samson, Bernard Previtali, F. Nemouchi, G. Rodriguez, Philippe Rodriguez, R. Famulok, Nils Rambal, Viorel Balan, Z. Saghi, V. Jousseaume, Charles-Antoine Guérin, F. Ibars, F. Proud, D. Nouguier, David Ney, V. Delaye, H. Dansas, X. Federspiel, and Maud Vinet
- Published
- 2017
- Full Text
- View/download PDF
4. Opportunities brought by sequential 3D CoolCube™ integration.
- Author
-
Maud Vinet, Perrine Batude, Claire Fenouillet-Béranger, Laurent Brunet, Vincent Mazzocchi, Cao-Minh Vincent Lu, Fabien Deprat, Jessy Micout, Bernard Previtali, Paul Besombes, Nils Rambal, François Andrieu, Olivier Billoint, Melanie Brocard, Sébastien Thuries, Guillaume Berhault, Cristiano Lopes Dos Santos, Gerald Cibrario, Fabien Clermidy, Daniel Gitlin, and Olivier Faynot
- Published
- 2016
- Full Text
- View/download PDF
5. Recent advances in 3D VLSI integration.
- Author
-
Claire Fenouillet-Béranger, Perrine Batude, Laurent Brunet, Vincent Mazzocchi, Cao-Minh Vincent Lu, Fabien Deprat, Jessy Micout, M.-P. Samson, Bernard Previtali, Paul Besombes, Nils Rambal, François Andrieu, Olivier Billoint, Melanie Brocard, Sébastien Thuries, Gerald Cibrario, and Maud Vinet
- Published
- 2016
- Full Text
- View/download PDF
6. FDSOI bottom MOSFETs stability versus top transistor thermal budget featuring 3D monolithic integration.
- Author
-
Claire Fenouillet-Béranger, Bernard Previtali, Perrine Batude, Fabrice Nemouchi, Mikaël Cassé, Xavier Garros, Lucie Tosti, Nils Rambal, Dominique Lafond, Hugo Dansas, Luca Pasini, Laurent Brunet, Fabien Deprat, Magali Grégoire, M. Mellier, and Maud Vinet
- Published
- 2014
- Full Text
- View/download PDF
7. Scaling of Trigate nanowire (NW) MOSFETs Down to 5 nm Width: 300 K transition to Single Electron Transistor, challenges and opportunities.
- Author
-
Veeresh Deshpande, Sylvain Barraud, Xavier Jehl, Romain Wacquez, Maud Vinet, Remi Coquand, B. Roche, B. Voisin, François Triozon, C. Vizioz, L. Tosti, Bernard Previtali, P. Perreau, T. Poiroux, Marc Sanquer, and Olivier Faynot
- Published
- 2012
- Full Text
- View/download PDF
8. 3D monolithic integration.
- Author
-
Perrine Batude, Maud Vinet, Arnaud Pouydebasque, Cyrille Le Royer, Bernard Previtali, Claude Tabone, Jean-Michel Hartmann, Loic Sanchez, Laurence Baud, Veronique Carron, Alain Toffoli, Fabienne Allain, Vincent Mazzocchi, Dominique Lafond, Simon Deleonibus, and Olivier Faynot
- Published
- 2011
- Full Text
- View/download PDF
9. Mass Production of Silicon MOS-SETs: Can We Live with Nano-Devices' Variability?
- Author
-
Xavier Jehl, B. Roche, Marc Sanquer, B. Voisin, Romain Wacquez, Veeresh Deshpande, Bernard Previtali, Maud Vinet, J. Verduijn, Giuseppe Carlo Tettamanzi, Sven Rogge, D. Kotekar-Patil, Matthias Ruoff, D. Kern, D. A. Wharam, M. Belli, Enrico Prati, and Marco Fanciulli
- Published
- 2011
- Full Text
- View/download PDF
10. Wafer-scale fabrication of biologically sensitive Si nanowire FET: from pH sensing to electrical detection of DNA hybridization
- Author
-
G. Nonglaton, Bernard Previtali, V. Stambouli, R. Midahuen, Sylvain Barraud, C. Fontelaye, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire des matériaux et du génie physique (LMGP ), Institut de Chimie du CNRS (INC)-Centre National de la Recherche Scientifique (CNRS)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP ), and Université Grenoble Alpes (UGA)-Université Grenoble Alpes (UGA)
- Subjects
Capacitive coupling ,Fabrication ,Materials science ,Silicon ,business.industry ,Nanowire ,chemistry.chemical_element ,[CHIM.MATE]Chemical Sciences/Material chemistry ,Threshold voltage ,CMOS ,chemistry ,Optoelectronics ,Wafer ,Field-effect transistor ,business ,ComputingMilieux_MISCELLANEOUS - Abstract
In this work, a wafer-scale fabrication of biologically sensitive Si nanowire FET is demonstrated for pH sensing and electrical detection of DNA hybridization. Based on conventional “top-down” CMOS compatible technology, our bioFETs explore a wide range of design (nanowires [NW], nanoribbons [NR], and honeycomb [HC] structures) with opening access scaled down to only 120 nm. After device fabrication, I DS -V BG transfer and I DS -V DS output characteristics show a conventional n-type FET behavior with an I ON /I OFF value higher than 105, as well as an increase of threshold voltage as the NW width is reduced. Then, using a capacitive coupling in our dual-gated Si bioFETs, the pH sensitivity is enhanced with a pH response up to 600 mV/pH. Finally, the increase of threshold voltage of n-type SiNWs due to hybridized target DNA molecules is successfully detected.
- Published
- 2021
- Full Text
- View/download PDF
11. Opportunities and challenges brought by 3D-sequential integration
- Author
-
Benoit Sklenard, Bastien Giraud, Sebastien Thuries, Mikael Casse, Joris Lacord, Cm. Ribotta, V. Lapras, P. Acosta-Alba, O. Billoint, M. Mouhdach, N. Rambal, Pascal Besson, Francois Andrieu, Perrine Batude, Didier Lattard, Laurent Brunet, Gilles Sicard, Xavier Garros, Christoforos G. Theodorou, L. Brevard, Maud Vinet, V. Mazzocchi, P. Sideris, M. Ribotta, Claire Fenouillet-Beranger, F. Ponthenier, Pascal Vivet, Sebastien Kerdiles, G. Cibrario, J.M. Hartmann, Frank Fournel, Bernard Previtali, Frédéric Mazen, Claude Tabone, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP ), Université Grenoble Alpes (UGA), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
Presentation ,Reliability (semiconductor) ,Materials science ,CMOS ,Process (engineering) ,media_common.quotation_subject ,Key (cryptography) ,Systems engineering ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Active devices ,Sketch ,ComputingMilieux_MISCELLANEOUS ,media_common - Abstract
The aim of this paper is to present the 3D-sequential integration and its main prospective application sectors. The presentation will also give a synoptic view of all the key enabling process steps required to build high performance Si CMOS integrated by 3D-sequential with thermal budget preserving the integrity of active devices and interconnects and will sketch a status and prospect on current low temperature device performance.
- Published
- 2021
- Full Text
- View/download PDF
12. Confined selective lateral epitaxial growth of 16-nm thick Ge nanostructures on SOI substrates: Advantages and challenges
- Author
-
Rami Khazaka, Y. Bogumilowicz, Bernard Previtali, Sylvain David, Denis Rouchon, Nicolas Chevalier, Sylvain Maitrejean, Zdenek Chalupa, Hervé Boutry, Anne Marie Papon, V. Lapras, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Systèmes RF (XLIM-SRF), XLIM (XLIM), Université de Limoges (UNILIM)-Centre National de la Recherche Scientifique (CNRS)-Université de Limoges (UNILIM)-Centre National de la Recherche Scientifique (CNRS), Laboratoire des technologies de la microélectronique (LTM ), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])
- Subjects
[PHYS]Physics [physics] ,010302 applied physics ,Materials science ,Nanostructure ,business.industry ,Stacking ,General Physics and Astronomy ,Silicon on insulator ,02 engineering and technology ,Surfaces and Interfaces ,General Chemistry ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,Epitaxy ,01 natural sciences ,Surfaces, Coatings and Films ,Etching (microfabrication) ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,ComputingMilieux_MISCELLANEOUS - Abstract
In this contribution, we report on the growth of Ge inside extremely thin 16-nm thick cavities through selective lateral growth of Ge on 300 mm silicon-on-insulator (0 0 1) substrates. We showed that the density of defects depends on the cavity shape, with extended defects such as micro-twins and stacking faults observed on the top surface along the 〈1 1 0〉 directions when the Si/Ge growth interface is along the 〈1 1 0〉 directions. The optimization of the cavity shape, by tuning the etching conditions, leads to a significant reduction of the defects in the Ge nanostructures, and this approach paves the road towards the co-integration of Si and Ge based devices.
- Published
- 2018
- Full Text
- View/download PDF
13. Advanced characterizations of fluorine-free tungsten film and its application as low resistance liner for PCRAM
- Author
-
B.-N. Bozon, J.-Ph. Reynard, Y. Le Friec, Sylvie Favier, Yann Mazel, K. Dabertrand, Patrice Gergaud, R. Famulok, Ph. Rodriguez, Fabrice Nemouchi, C. Jahan, Bernard Previtali, F. Boyer, Département Intégration Hétérogène sur Silicium (DIHS), Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics, Applied Materials France, ANR-11-EQPX-0010,CRGF,Lignes synchrotron françaises à l'ESRF(2011), ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), ANR-10-AIRT-0005,NANOELEC,NANOELEC(2010), and ANR: ANR-10-AIRT-05,Programme Investissements d’Avenir
- Subjects
Materials science ,Interconnects ,Analytical chemistry ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,Tungsten ,01 natural sciences ,[SPI.MAT]Engineering Sciences [physics]/Materials ,Atomic layer deposition ,Plasma-enhanced chemical vapor deposition ,Low resistance ,Contact ,0103 physical sciences ,General Materials Science ,Thin film ,Composite material ,010302 applied physics ,Mechanical Engineering ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,[SPI.TRON]Engineering Sciences [physics]/Electronics ,X-ray reflectivity ,Tungsten film ,chemistry ,Mechanics of Materials ,PCRAM ,Liner ,0210 nano-technology ,Layer (electronics) - Abstract
International audience; Using a metal-organic tungsten based precursor, a fluorine-free tungsten thin film has been obtained. The process deposition recipe includes a plasma-enhanced CVD (PECVD) step and atomic layer deposition (ALD) cycles. A set of physicochemical characterizations including X-ray reflectivity (XRR), in-plane X-ray diffraction (XRD), wavelength dispersive X-ray fluorescence (WDXRF), plasma profiling time of flight mass spectrometry (PPTOFMS) and microscope observations has been realized in order to study the W thin film structure and properties. The film is perfectly conformal whatever the structure size investigated (from tens of nanometers to micrometers wide). It was also highlighted that the F-free W film exhibits the lowest electrical resistivity phase (α-W) but is not pure. Indeed, in addition to a top surface oxidation, a layer located at the W film / substrate interface is present. This interface layer (IL) contains impurities, including carbon and oxygen, due to ligand decomposition. This IL might be deposited during the soak step or during the PECVD step. The W liner with thicknesses ranging from 3 to 4 nm has been implemented on PCRAM structures in order to evaluate its impact on contact plug resistivity. First electrical results are promising and demonstrate the interest of using a F-free low resistance W liner. At the aspect ratio studied, the gain in terms of contact plug resistivity is about 20% compared to the process of reference using a TiN liner. Modeling shows that this benefit is mainly due to the reduction of interface resistances.
- Published
- 2017
- Full Text
- View/download PDF
14. (Invited) Sequential 3D Process Integration: Opportunities for Low Temperature Processing
- Author
-
Pablo Acosta-Alba, F. Aussenac, Marc Veillerot, Karim Huet, Hervé Denis, Laurent Brunet, Fulvio Mazzamuto, Bernard Previtali, Perrine Batude, B. Mathieu, Claire Fenouillet-Beranger, I. Toque-Tresonne, Marie-Pierre Samson, and Sebastien Kerdiles
- Subjects
Laser annealing ,Engineering ,Temperature treatment ,business.industry ,Annealing (metallurgy) ,Process integration ,Electrical engineering ,Optoelectronics ,Silicon on insulator ,Dopant Activation ,Nanosecond laser ,business ,Lower temperature - Abstract
3D sequential integration motivates the development of low temperature technological modules. Alternatively to classical non-selective annealing techniques, sub-microsecond laser annealing allows high temperature treatment of a sub-micrometer surface region while keeping the underneath structures at much lower temperature. In this contribution, we present recent advances in ultra-violet nanosecond laser annealing targeting monolithic 3D integration. Emphasis will be put on the demonstration of dopant activation in thin implanted SOI structures, simulating source and drain regions. Cu / ULK interconnects stability upon nanosecond laser annealing is also investigated.
- Published
- 2017
- Full Text
- View/download PDF
15. 7-Levels-Stacked Nanosheet GAA Transistors for High Performance Computing
- Author
-
James C. Sturm, C. Vizioz, J.M. Hartmann, A. Jannaud, Bernard Previtali, G. Romano, C. Perrot, A. Magalhaes-Lucas, Ph. Rodriguez, Sylvain Barraud, Francois Andrieu, R. Kies, Virginie Loup, Adeline Grenier, J. Lassarre, Mikael Casse, and Nicolas Bernier
- Subjects
Materials science ,Silicon ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,01 natural sciences ,law.invention ,Gallium arsenide ,Computer Science::Hardware Architecture ,chemistry.chemical_compound ,Computer Science::Emerging Technologies ,law ,0103 physical sciences ,MOSFET ,0202 electrical engineering, electronic engineering, information engineering ,Metal gate ,Nanosheet ,010302 applied physics ,business.industry ,Transistor ,020207 software engineering ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,chemistry ,Logic gate ,Optoelectronics ,business - Abstract
In this paper, we experimentally demonstrate, for the first time, gate-all-around (GAA) nanosheet transistors with a record number of stacked channels. Seven levels stacked nanosheet (NS) GAA transistors fabricated using a replacement metal gate process, inner spacer and self-aligned contacts show an excellent gate controllability with extremely high current drivability $(3\mathrm{mA}/\mu \mathrm{m}\ \mathrm{at}\ \mathrm{V}_{\mathrm{DD}}=1\mathrm{V})$ and a 3 x improvement in drain current over usual 2 levels stacked- NS GAA transistors.
- Published
- 2020
- Full Text
- View/download PDF
16. A review of the full 500°C low temperature technological modules development for high performance and reliable 3D Sequential Integration
- Author
-
F. Aussenac, P. Acosta-Alba, V. Beugin, V. Mazzocchi, Xavier Garros, Mikael Casse, Sebastien Kerdiles, C. Vizioz, C. Guerin, N. Rambal, F. Ponthenier, J. Micout, Perrine Batude, Maud Vinet, Bernard Previtali, Francois Andrieu, Claire Fenouillet-Beranger, S. Chevalliez, J-M. Pedini, and Laurent Brunet
- Subjects
Materials science ,Silicon ,chemistry ,Annealing (metallurgy) ,Logic gate ,Hardware_INTEGRATEDCIRCUITS ,Gate stack ,Silicon on insulator ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,Epitaxy ,Engineering physics - Abstract
This paper highlights the last technological breakthroughs achieved in the development of low temperature process modules at 500°C for 3D sequential integration. The two remaining process steps (low temperature gate stack and selective silicon raised source drain epitaxy) that were considered as potential showstoppers for this technology have shown decisive progress very recently.
- Published
- 2019
- Full Text
- View/download PDF
17. Tunability of Parasitic Channel in Gate-All-Around Stacked Nanosheets
- Author
-
Maud Vinet, Virginie Loup, Bernard Previtali, G. Audoit, Vincent Delaye, V. Lapras, Mikael Casse, Joris Lacord, Sylvain Barraud, Thomas Ernst, Nicolas Bernier, N. Rambal, Olivier Rozeau, V. Balan, L. Dourthe, Zdenek Chalupa, A. Jannaud, Sebastien Martinie, C. Vizioz, J.M. Hartmann, and G. Romano
- Subjects
010302 applied physics ,Materials science ,business.industry ,Spice ,Silicon on insulator ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Condensed Matter::Mesoscopic Systems and Quantum Hall Effect ,021001 nanoscience & nanotechnology ,Electrostatics ,01 natural sciences ,0103 physical sciences ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,0210 nano-technology ,business ,Metal gate ,Hardware_LOGICDESIGN ,Communication channel - Abstract
For the first time, a comprehensive study going from the integration of 3D stacked nanosheets Gate-All-Around (GAA) MOSFET devices to SPICE modeling is proposed. Devices have been successfully fabricated on SOI substrates using a replacement high- $\kappa$ metal gate process and self-aligned-contacts. Back-biasing is herein efficiently used to highlight a drastic improvement of electrostatics in the upper GAA Si channels. Advanced electrical characterization of these devices enabled us to calibrate a new version of physical compact model (LETI-NSP) in order to assess the performance of ring oscillators for different configurations of GAA FETs integrating up to 8 vertically stacked Si channels.
- Published
- 2018
- Full Text
- View/download PDF
18. Local lateral integration of 16-nm thick Ge nanowires on silicon on insulator substrates
- Author
-
Hervé Boutry, A.M. Papon, H. Dansas, Zdenek Chalupa, V. Lapras, Bernard Previtali, Sylvain Maitrejean, Y. Bogumilowicz, Rami Khazaka, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), and Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)
- Subjects
010302 applied physics ,Materials science ,Physics and Astronomy (miscellaneous) ,business.industry ,Oxide ,Nanowire ,Silicon on insulator ,chemistry.chemical_element ,Germanium ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,chemistry ,Transmission electron microscopy ,Etching (microfabrication) ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Quantum tunnelling - Abstract
In this contribution, we report on the growth of horizontal Ge nanowires inside extremely thin tunnels surrounded by oxide. This is achieved through selective lateral growth of Ge on silicon-on-insulator (001) substrates. The 16 nm high tunnels are formed by HCl vapor etching of Si followed by Ge growth in the same epitaxy chamber. First, the benefit of growing the Ge nanowires at high temperature was highlighted to homogenize the length of the nanowires and achieve a high growth rate. Afterwards, we showed that increasing the tunnel depth led to a significant reduction in the growth rate. Finally, transmission electron microscopy showed that no defects were present in the Ge nanowires. These results are encouraging for the planar co-integration of heterogeneous materials on Si.In this contribution, we report on the growth of horizontal Ge nanowires inside extremely thin tunnels surrounded by oxide. This is achieved through selective lateral growth of Ge on silicon-on-insulator (001) substrates. The 16 nm high tunnels are formed by HCl vapor etching of Si followed by Ge growth in the same epitaxy chamber. First, the benefit of growing the Ge nanowires at high temperature was highlighted to homogenize the length of the nanowires and achieve a high growth rate. Afterwards, we showed that increasing the tunnel depth led to a significant reduction in the growth rate. Finally, transmission electron microscopy showed that no defects were present in the Ge nanowires. These results are encouraging for the planar co-integration of heterogeneous materials on Si.
- Published
- 2018
- Full Text
- View/download PDF
19. FDSOI bottom MOSFETs stability versus top transistor thermal budget featuring 3D monolithic integration
- Author
-
Perrine Batude, N. Rambal, Magali Gregoire, Maud Vinet, H. Dansas, Claire Fenouillet-Beranger, Fabrice Nemouchi, L. Pasini, D. Lafond, Laurent Brunet, Xavier Garros, Mikael Casse, M. Mellier, L. Tosti, F. Deprat, and Bernard Previtali
- Subjects
Materials science ,Fabrication ,business.industry ,Transistor ,Electrical engineering ,Silicon on insulator ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,law.invention ,PMOS logic ,chemistry.chemical_compound ,chemistry ,law ,Thermal ,Silicide ,Materials Chemistry ,Optoelectronics ,Thermal stability ,Electrical and Electronic Engineering ,business ,NMOS logic - Abstract
To set up specification for 3D monolithic integration, for the first time, the thermal stability of state-of-the-art FDSOI (Fully Depleted SOI) transistors electrical performance is quantified. Post fabrication annealings are performed on FDSOI transistors to mimic the thermal budget associated to top layer processing. Degradation of the silicide for thermal treatments beyond 400 °C is identified as the main responsible for performance degradation for PMOS devices. For the NMOS transistors, arsenic (As) and phosphorus (P) dopants deactivation adds up to this effect. By optimizing both the n-type extension implantations and the bottom silicide process, thermal stability of FDSOI can be extended to allow relaxing upwards the thermal budget authorized for top transistors processing.
- Published
- 2015
- Full Text
- View/download PDF
20. Performance and Design Considerations for Gate-All-Around Stacked-NanoWires FETs
- Author
-
C. Vizioz, J.M. Hartmann, Maud Vinet, Sotirios Athanasiou, Jean-Charles Barbe, Francois Andrieu, Sebastien Martinie, Thomas Ernst, Olivier Rozeau, C. Comboroure, V. Lapras, Marie-Anne Jaud, François Triozon, Bernard Previtali, Joris Lacord, M.-P. Samson, Sylvain Barraud, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), and European Project: 688101,H2020,H2020-ICT-2015,SUPERAID7(2016)
- Subjects
010302 applied physics ,Flexibility (engineering) ,Electron mobility ,Materials science ,Transistor ,Nanowire ,02 engineering and technology ,Hardware_PERFORMANCEANDRELIABILITY ,021001 nanoscience & nanotechnology ,01 natural sciences ,7. Clean energy ,Engineering physics ,Capacitance ,law.invention ,Gallium arsenide ,chemistry.chemical_compound ,[SPI]Engineering Sciences [physics] ,chemistry ,law ,Logic gate ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,0210 nano-technology ,Nanosheet - Abstract
International audience; This paper presents recent progress on Gate-All-Around (GAA) stacked-NanoWire (NW) / NanoSheet (NS) MOSFETs. Key technological challenges will be discussed and recent research results presented. Width-dependent carrier mobility in Si NW/NS and FinFET will be analyzed, and intrinsic performance and design considerations of GAA structures will be discussed and compared to FinFET devices with a focus on electrostatics, parasitic capacitances and different layout options. The results show that more flexibility can be achieved with stacked-NS transistors in order to manage power-performance optimization.
- Published
- 2017
- Full Text
- View/download PDF
21. Key process steps for high performance and reliable 3D Sequential Integration
- Author
-
J. Micoud, C.-M. V. Lu, Maud Vinet, Charles Leroux, Xavier Federspiel, R. Gassilloud, Perrine Batude, Laurent Brunet, Vincent Delaye, G. Romano, L. Pasini, Xavier Garros, F. Deprat, Claude Tabone, D. Nouguier, N. Rambal, Bernard Previtali, P. Besombes, D. Ney, D. Barge, Francois Andrieu, A. Toffoli, M.-P. Samson, Thomas Skotnicki, A. Tsiara, and Claire Fenouillet-Beranger
- Subjects
010302 applied physics ,chemistry ,Computer science ,Logic gate ,0103 physical sciences ,Process integration ,Electronic engineering ,Gate stack ,chemistry.chemical_element ,010502 geochemistry & geophysics ,Tin ,01 natural sciences ,0105 earth and related environmental sciences - Abstract
This work provides breakthroughs in key technological modules for high performance and reliable 3D Sequential Integration with intermediate BEOL (iBEOL) in-between tiers. We demonstrate that (i) a high-quality solid phase epitaxy process is possible at 500°C, (ii) TiN native oxide removal prior to poly deposition leads to an improvement in gate stack reliability below 525°C and (iii) state-of-the-art SiOCH ULK in iBEOL is reliable up to 550°C 5h with W metal lines. A process integration is thus proposed to match the process windows of bottom layers (bottom FET and iBEOL) stability and top devices performance and reliability, opening perspectives for a wide range of applications and technologies using 3D Sequential Integration.
- Published
- 2017
- Full Text
- View/download PDF
22. Dense N over CMOS 6T SRAM cells using 3D Sequential Integration
- Author
-
X. Garros, N. Rambal, C. Fenouillet-Beranger, M. Brocard, L. Pasini, G. Cibrario, Thomas Skotnicki, M.-P. Samson, A. Ayres, Laurent Brunet, M. Vinet, C. Tallaron, C-M. V., O. Billoint, R. Gassilloud, Francois Andrieu, R. Kies, G. Romano, Perrine Batude, Bernard Previtali, A. Toffoli, M. Casse, P. Besombes, C. Leroux, Claude Tabone, V. Lapras, A. Laurent, and D. Barge
- Subjects
Footprint (electronics) ,Reduction (complexity) ,Materials science ,Reliability (semiconductor) ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Stacking ,Three-dimensional integrated circuit ,Hardware_PERFORMANCEANDRELIABILITY ,Static random-access memory ,NMOS logic - Abstract
Stacking N over CMOS devices using 3D Sequential CoolCube™ Integration has been shown promising for the scaling of 6T SRAMs. By transposing one pass-gate and one pull-down NMOS to the top layer, a cell footprint reduction of 27% could be obtained, leading to a 3D vias density over 108/mm2 achievable. In addition, we presented N-type devices fabricated below 630°C yielding quasi-equivalent performances as high temperature ones while fulfilling the PBTI and hot-carrier effects reliability requirements, comforting the viability of N over CMOS approach.
- Published
- 2017
- Full Text
- View/download PDF
23. Top-down fabrication and electrical characterization of Si and SiGe nanowires for advanced CMOS technologies
- Author
-
Bernard Previtali, R. Coquand, C. Vizioz, J.M. Hartmann, Sylvain Barraud, V. Lapras, and Mikael Casse
- Subjects
Fabrication ,Materials science ,CMOS ,business.industry ,Materials Chemistry ,Nanowire ,Optoelectronics ,Electrical and Electronic Engineering ,Condensed Matter Physics ,business ,Electronic, Optical and Magnetic Materials ,Characterization (materials science) - Published
- 2019
- Full Text
- View/download PDF
24. Influence of device architecture on junction leakage in low-temperature process FDSOI MOSFETs
- Author
-
Perrine Batude, Quentin Rafhay, P. Rivallin, Ignacio Martin-Bragado, Benoit Sklenard, Thierry Poiroux, Sorin Cristoloveanu, Clement Tavernier, Benjamin Colombeau, Bernard Previtali, Fareen-Adeni Khaja, Cuiqin Xu, Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Materials science ,business.industry ,Junction leakage ,Electrical engineering ,Silicon on insulator ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Condensed Matter Physics ,01 natural sciences ,Electronic, Optical and Magnetic Materials ,Critical regions ,0103 physical sciences ,Thermal ,Materials Chemistry ,Optoelectronics ,Kinetic Monte Carlo ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Electrical and Electronic Engineering ,0210 nano-technology ,business ,ComputingMilieux_MISCELLANEOUS ,Leakage (electronics) - Abstract
In this paper, we demonstrate low junction leakage for Fully Depleted Silicon On Insulator (FDSOI) devices fabricated with a low thermal budget (⩽650 °C), which commonly exhibit leakage problems due to the presence of defects in or close to depletion regions. We show through both experimental data and Kinetic Monte Carlo (KMC) simulations that the reduction of the film thickness and Raised Source Drain (RSD) allow the elimination of defects in critical regions in spite of the reduced thermal budget in the very early stage of the anneal. KMC simulations also show that defects are annealed-out in this critical region even for 500 °C anneals. Low temperature process appears then as a suitable process for advanced devices.
- Published
- 2013
- Full Text
- View/download PDF
25. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains
- Author
-
V. Benevent, Jean-Paul Barnes, D. Lafond, Didier Dutartre, Francois Andrieu, J.M. Hartmann, M. Veillerot, S. Morvan, J.-F. Damlencourt, Bernard Previtali, and Nicolas Loubet
- Subjects
Materials science ,Yield (engineering) ,Drop (liquid) ,Transistor ,Analytical chemistry ,Gate length ,Nanotechnology ,Blanket ,Condensed Matter Physics ,Epitaxy ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,Materials Chemistry ,Wafer ,Electrical and Electronic Engineering - Abstract
We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow “mushroom-free” Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm−3 up to 3 × 1020 cm−3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ∼45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.
- Published
- 2013
- Full Text
- View/download PDF
26. Recent advances in low temperature process in view of 3D VLSI integration
- Author
-
N. Rambal, C. Fenouillet-Beranger, X. Garros, G. Cibrario, M.-P. Samson, B. Mathieu, Fabrice Nemouchi, Perrine Batude, C. Guerin, C. Leroux, Laurent Brunet, C-M. V. Lu, Sebastien Kerdiles, O. Billoint, Daniel Benoit, M. Brocard, J. Micout, R. Gassilloud, M. Vinet, Pascal Besson, Bernard Previtali, Christian Arvet, L. Pasini, Sebastien Thuries, V. Lapras, Francois Andrieu, Virginie Loup, F. Deprat, P. Acosta-Alba, V. Beugin, V. Mazzocchi, P. Besombes, and J.M. Hartmann
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,Fabrication ,Annealing (metallurgy) ,Gate stack ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,Dopant Activation ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,Engineering physics ,chemistry.chemical_compound ,chemistry ,Logic gate ,0103 physical sciences ,Silicide ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,0210 nano-technology - Abstract
In this paper, the recent advances in low temperature process in view of 3D VLSI integration are reviewed. Thanks to the optimization of each low temperature process modules (dopant activation, gate stack, epitaxy, spacer deposition) and silicide stability improvement, the top layer thermal budget fabrication has been decreased in order to satisfy the requirements for 3D VLSI integration.
- Published
- 2016
- Full Text
- View/download PDF
27. Ns laser annealing for junction activation preserving inter-tier interconnections stability within a 3D sequential integration
- Author
-
Bernard Previtali, Laurent Brunet, B. Mathieu, Perrine Batude, J-P. Nieto, L. Pasini, Pascal Besson, I. Toque-Tresonne, F. Aussenac, Fulvio Mazzamuto, P. Acosta-Alba, Sebastien Kerdiles, Karim Huet, J.M. Hartmann, M.-P. Samson, N. Rambal, F. Ibars, R. Kachtouli, M. Vinet, V. Lapras, C. Fenouillet-Beranger, and A. Roman
- Subjects
010302 applied physics ,Materials science ,Dopant ,Silicon ,Annealing (metallurgy) ,business.industry ,Recrystallization (metallurgy) ,chemistry.chemical_element ,02 engineering and technology ,Nanosecond ,021001 nanoscience & nanotechnology ,Laser ,01 natural sciences ,Semiconductor laser theory ,law.invention ,chemistry ,law ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Process window ,0210 nano-technology ,business - Abstract
In this paper, the energy process window of nanosecond (ns) laser annealing for junctions activation has been determined for several dopants (As, P, BF2). The different recrystallization states observed when tuning laser energy density are explained by numerical simulations. Within these conditions, the laser impact on the thermal stability of ULK/copper inter-tiers interconnections has been evaluated for a 28nm node backend metal 1 design rules technology both from morphological and electrical perspectives. This study highlights the interest of ns laser anneal for CoolCube™ 3D integration.
- Published
- 2016
- Full Text
- View/download PDF
28. Integration of Low Temperature 480℃ SiOCN as Offset Spacer in view of 3D Sequential Integration
- Author
-
A. Michallet, C. Bout, J. Fort, T. Skotnicki, V. Beugin, F. Pierre, D. Benoit, L. Brunet, P. Besson, C. Arvet, M.-P. Samson, C. Tabone, N. Rochat, C.-M. V. Lu, V. Loup, Perrine Batude, C. Fenouillet-Beranger, N. Posseme, Bernard Previtali, A. Roule, and M Vinet
- Subjects
Offset (computer science) ,Materials science ,business.industry ,Optoelectronics ,business - Published
- 2016
- Full Text
- View/download PDF
29. Influence of Low Thermal Budget Plasma Oxidation and Millisecond Laser Anneal on Gate Stack Reliability in view of 3D Sequential Integration
- Author
-
H. Graoui, C. Guedj, R. Gassilloud, Cédric Leroux, C.-M. V. Lu, S. Sharma, M.-P. Samson, T. Skotnicki, R. Kies, Perrine Batude, N. Rambal, Bernard Previtali, C. Fenouillet-Beranger, L. Brunet, A. Toffoli, Sebastien Kerdiles, Xavier Garros, D. Larmagnac, G. Romano, M. Vinet, and N. Bernier
- Subjects
Millisecond ,Reliability (semiconductor) ,Materials science ,business.industry ,law ,Thermal ,Gate stack ,Optoelectronics ,Plasma ,business ,Laser ,law.invention - Published
- 2016
- Full Text
- View/download PDF
30. First demonstration of a CMOS over CMOS 3D VLSI CoolCube™ integration on 300mm wafers
- Author
-
L. Pasini, Perrine Batude, V. Benevent, Maud Vinet, Thomas Signamarcheix, R. Kachtouli, Sébastien Barnola, A. Royer, C. Vizioz, F. Fournel, J.M. Hartmann, G. Romano, N. Allouti, Sebastien Kerdiles, Christophe Morales, A. Seignard, C. Agraffeil, Frederic Boeuf, F. Ponthenier, Vincent Delaye, F. Deprat, M. Jourdan, L. Benaissa, L. Baud, C. Euvrard-Colnat, O. Faynot, Bernard Previtali, C. Guedj, P. Besombes, C. Comboroure, Claire Fenouillet-Beranger, L. Hortemel, Laurent Brunet, Claude Tabone, Nicolas Posseme, Alain Toffoli, C.-M. V. Lu, Christian Arvet, and Pascal Besson
- Subjects
010302 applied physics ,Very-large-scale integration ,Engineering ,business.industry ,Electrical engineering ,Silicon on insulator ,02 engineering and technology ,01 natural sciences ,020202 computer hardware & architecture ,PMOS logic ,Front and back ends ,CMOS ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Wafer ,business ,Metal gate ,NMOS logic - Abstract
For the first time, a full 3D CMOS over CMOS CoolCube™ integration is demonstrated with a top level compatible with state of the art high performance FDSOI (Fully-Depleted Silicon On Insulator) process requirements such as High-k/metal gate or raised source and drain. Functional 3D inverters with either PMOS or NMOS on the top level are highlighted. Furthermore, Si layer transfer above a 28nm W Metal 1 level of an industrial short loop and the return in a front end environment is presented, confirming the industrial compatibility of CoolCube™ integration.
- Published
- 2016
- Full Text
- View/download PDF
31. First integration of Ni0.9Co0.1 on pMOS transistors
- Author
-
M. Danielou, F. Deprat, Perrine Batude, Mikael Casse, N. Rambal, Bernard Previtali, Maud Vinet, M. Mellier, Fabrice Nemouchi, Michel Haond, Magali Gregoire, Claire Fenouillet-Beranger, Ph. Rodriguez, Vincent Delaye, and S. Favier
- Subjects
010302 applied physics ,Materials science ,Transistor ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Salicide ,01 natural sciences ,Engineering physics ,PMOS logic ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,0103 physical sciences ,Silicide ,Thermal ,MOSFET ,Electronic engineering ,Thermal stability ,0210 nano-technology - Abstract
In 3D sequential integration, the top transistor thermal budget must be reduced to preserve bottom MOSFET performance. In order to relax this thermal budget limitation, the thermal stability of the bottom level must be increased, especially for the silicide. In that purpose, Ni0.9Co0.1 alloy is proposed to replace the current Ni0.85Pt0.15 silicide. For the first time, this Ni0.9Co0.1 salicide has been integrated on pMOS FDSOI transistors with state of the art process leading to performance improvements compared to the standard Ni0.85Pt0.15 salicide. In this study, the cobalt incorporation into the salicide has been investigated to enhance its thermal stability.
- Published
- 2016
- Full Text
- View/download PDF
32. 3D monolithic integration: Technological challenges and electrical results
- Author
-
Laurent Clavelier, Olivier P. Thomas, Perrine Batude, S. Michaud, V. Mazzocchi, L. Baud, Maud Vinet, H. Grampeix, A. Roman, Claude Tabone, A. Valentian, Fabrice Nemouchi, A. Pouydebasque, C. LeRoyer, Loic Sanchez, Amara Amara, V. Carron, Bernard Previtali, O. Faynot, and Simon Deleonibus
- Subjects
Materials science ,Wafer bonding ,Transistor ,Silicon on insulator ,Integrated circuit ,Condensed Matter Physics ,Engineering physics ,Atomic and Molecular Physics, and Optics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials ,law.invention ,Threshold voltage ,Depletion region ,law ,Wafer ,Electrical and Electronic Engineering ,Layer (electronics) - Abstract
After a short reminder of the principle of monolithic 3D integration, this paper firstly reviews the main technological challenges associated to this integration and proposes solutions to assess them. Wafer bonding is used to have perfect crystalline quality of the top layer at the wafer scale. Thermally stabilized silicide is developed to use standard salicidation scheme in the bottom layer. Finally a fully depleted SOI low temperature process is demonstrated for top layer processing (overall temperature kept below 650^oC). In a second part the electrical results obtained within this integration scheme are summarized: mixed Ge over Si invertor is demonstrated and electrostatic coupling between top and bottom layer is used to shift the threshold voltage of the top layer. Finally circuit opportunities such as stabilized SRAM or gain in density are investigated.
- Published
- 2011
- Full Text
- View/download PDF
33. Experimental Evidence of Sidewall Enhanced Transport Properties of Mesa-Isolated (001) Germanium-On-Insulator pMOSFETs
- Author
-
M. Vinet, A. Pouydebasque, Claude Tabone, F. Allain, J.M. Hartmann, H. Grampeix, E. Augendre, Bernard Previtali, K. Romanjek, and C. Le Royer
- Subjects
Electron mobility ,Inversion charge ,Materials science ,Silicon ,business.industry ,Electrical engineering ,Charge density ,High density ,chemistry.chemical_element ,Insulator (electricity) ,Germanium ,Electronic, Optical and Magnetic Materials ,chemistry ,MOSFET ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
In this brief, the hole transport properties of narrow-width germanium-on-insulator (GeOI) pMOSFETs are investigated. We report, for the first time, +65% low-field hole mobility enhancement in narrow-width (0.29-mum effective widthW eff) versus large-width (10- mum W eff) GeOI mesa-isolated devices. The observed enhancement, which is independent of the device length down to 90 nm, is attributed to improved sidewall transport properties resulting in higher hole mobility on the sides than on the top of the devices. At high inversion charge density N inv~ 1013 cm-2, + 55% hole effective mobility improvement is preserved. The top and side low-field mobilities ( mutop and muside, respectively) were extracted, showing + 90% mobility improvement at the sides (mutop = 125 cm2/V middots-1 and muside= 240 cm2/V middots-1).
- Published
- 2009
- Full Text
- View/download PDF
34. Enabling 3D Monolithic Integration
- Author
-
Perrine Batude, Simon Deleonibus, Loic Sanchez, Cyrille Leroyer, Laurence Baud, Fabrice Nemouchi, Maud Vinet, Corine Comboroure, A. Pouydebasque, F. Aussenac, Laurent Clavelier, V. Mazzocchi, V. Carron, Bernard Previtali, Stéphane Pocas, Helen Grampeix, Antonio Roman, and Claude Tabone
- Subjects
Interconnection ,Materials science ,business.industry ,Wafer bonding ,Transistor ,Silicon on insulator ,Dopant Activation ,law.invention ,law ,Chemical-mechanical planarization ,Optoelectronics ,Thin film ,business ,Sheet resistance - Abstract
P. Batude, M. Vinet, L. Clavelier, A. Pouydebasque, C. Tabone, A. Roman, L. Baud, V. Carron, F. Nemouchi, L. Sanchez, and S. Deleonibus. CEA-LETI, Minatec, 17 rue des Martyrs, 38054 Grenoble Cedex 9, France. perrine.batude@cea.fr 3D integration is regularly mentioned for its potential in decreasing interconnection delay, and for the density gain brought by stacking several transistors layers. An additional benefit of 3D integration lies in an independent optimization of n-FET and p-FET allowed by stacking entire p-FET onto n-FET layers. In this integration scheme, connecting the layers at the transistor scale is absolutely mandatory. 3D monolithic integration, with its high alignment performance fulfils this requirement whereas parallel integration falls short in this aspect (best alignment performance at 1 sigma ~0.5μm). To achieve 3D monolithic integration, some issues such as realization of high quality top film, high stability bottom FET, low TB (Thermal Budget) top FET still have to be solved. In this paper, a 3D monolithic process flow relying on molecular Wafer Bonding (WB) (fig.1) is proposed and breakthroughs in the critical steps are presented. It allows full enhancement of n and p-FET performance through material choice, strain options, surface and channel orientation and metal workfunction tuning. Note that WB, contrary to other techniques for upper thin film realisation based on recristallisation, offers the possibility to co-integrate different surface and channel orientations. Furthermore this mature process step leads to a high quality crystalline top film with low TB. For the top crystalline layer realization, a Ge or Si on insulator substrate is bonded at room temperature on the fully processed bottom transistor layer after planarization of its topology (fig.1(b)). A low temperature anneal (200°C) is performed to strengthen the bonding interface before mechanical substrate removal. The bonding is found of excellent quality with bonding energy of 900 mJm (mazzara method) and clean acoustic and infrared characterisation as shown in figure 2 (a,b) . Note that the Inter Layer Dielectric (ILD) thickness (fig.2(c)) is thinned down to 100 nm and allows dense 3D contacts. Indeed this additional depth, specific to 3D technology must be minimized to enable the contact scalability as its etching and filling become critical. To spare the bottom FET from high temperature anneal for top transistor dopant activation, which would have detrimental impact on its performance, SPE (Solid Phase Epitaxial) on thin SOI films (
- Published
- 2008
- Full Text
- View/download PDF
35. W and Copper Interconnection Stability for 3D VLSI CoolCube Integration
- Author
-
A. Roman, A. Seignard, Perrine Batude, C. Ribiere, O. Pollet, V. Benevent, E. Gourvest, M.-P. Samson, N. Rambal, Lucile Arnaud, L. Brunet, Hervé Denis, Y. Loquet, M. Vinet, V. Lapras, L. Emery, V. Lu, S. Maitrejean, Vincent Jousseaume, P. Besson, C.Fenouillet Beranger, G. Druais, C.Euvrard Colnat, Bernard Previtali, F. Deprat, R. Kachtouli, S. Kerdiles, Y.Le Friec, and F. Aussenac
- Subjects
Very-large-scale integration ,Interconnection ,Materials science ,chemistry ,Stability (learning theory) ,Electronic engineering ,chemistry.chemical_element ,Copper - Published
- 2015
- Full Text
- View/download PDF
36. (Invited) Annealing Techniques for Low Temperature Junctions Design in a 3D VLSI Integration
- Author
-
J.P. Barnes, V. Lapras, P. Acosta Alba, N. Rambal, L. Hortemel, F. Piegas Luce, P. Rivallin, Dominique Lafond, Perrine Batude, Pascal Besson, M.-P. Samson, Sebastien Kerdiles, M. Vinet, B. Mathieu, A. Royer, H. Dansas, R. Kachtouli, M. Casse, Shay Reboh, V. Lu, O. Rozeau, L. Pasini, C.Fenouillet Beranger, Bernard Previtali, Laurent Brunet, F. Aussenac, Benoit Sklenard, and F. Deprat
- Subjects
Very-large-scale integration ,Materials science ,Annealing (metallurgy) ,Engineering physics - Published
- 2015
- Full Text
- View/download PDF
37. 3DVLSI with CoolCube process: An alternative path to scaling
- Author
-
Maud Vinet, Thomas Signamarcheix, V. Lu, Julie Widiez, Fabien Clermidy, A. Royer, J. Mazurier, M.-P. Samson, F. Piegas-Luce, Fabrice Nemouchi, L. Pasini, J.M. Hartmann, M. Casse, F. Deprat, Laurent Brunet, N. Rambal, Maurice Rivoire, Perceval Coudrain, M. Bidaud, Ogun Turkyilmaz, Hossam Sarhan, F. Ponthenier, G. Ghibaudo, C. Euvard-Colnat, Perrine Batude, Louis Hutin, Sebastien Kerdiles, Claude Tabone, Emmanuel Josse, L. Benaissa, E. Petitprez, Remi Beneyton, Claire Fenouillet-Beranger, L. Hortemel, G. Cibrario, Pascal Besson, A. Seignard, B. Mathieu, F. Fournel, C. Bout, C. Agraffeil, S. Sollier, Michel Haond, O. Billoint, P. Leduc, O. Rozeau, Benoit Sklenard, Sebastien Thuries, Bernard Previtali, O. Faynot, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), STMicroelectronics, ANR-10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010), European Project: 619325,EC:FP7:ICT,FP7-ICT-2013-11,COMPOSE3(2013), Laboratoire d'Electronique et des Technologies de l'Information (CEA-LETI), Université Grenoble Alpes (UGA)-Direction de Recherche Technologique (CEA) (DRT (CEA)), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP)-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS)-Université Grenoble Alpes (UGA), and ANR-10-EQPX-0030/10-EQPX-0030,FDSOI11,Plateforme FDSOI pour le node 11nm(2010)
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,business.industry ,Transistor ,Stacking ,Electrical engineering ,02 engineering and technology ,Direct bonding ,Dopant Activation ,01 natural sciences ,7. Clean energy ,020202 computer hardware & architecture ,law.invention ,law ,0103 physical sciences ,MOSFET ,0202 electrical engineering, electronic engineering, information engineering ,Optoelectronics ,Process optimization ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,business ,Scaling - Abstract
session 5: 3D Systems and Packaging; International audience; 3D VLSI with a CoolCube™ integration allows vertically stacking several layers of devices with a unique connecting via density above a million/mm 2 . This results in increased density with no extra cost associated to transistor scaling, while benefiting from gains in power and performance thanks to wire-length reduction. CoolCube™ technology leads to high performance top transistors with Thermal Budgets (TB) compatible with bottom MOSFET integrity. Key enablers are the dopant activation by Solid Phase Epitaxy (SPE) or nanosecond laser anneal, low temperature epitaxy, low k spacers and direct bonding. New data on the maximal TB bottom MOSFET can withstand (with high temperatures but short durations) offer new opportunities for top MOSFET process optimization.
- Published
- 2015
- Full Text
- View/download PDF
38. Experimental Evaluation of Gate Architecture Influence on DG SOI MOSFETs Performance
- Author
-
F. Dauge, Mireille Mouis, Julie Widiez, Simon Deleonibus, Maud Vinet, J. Lolivier, Bernard Previtali, and Thierry Poiroux
- Subjects
Coupling ,Materials science ,Subthreshold conduction ,Transistor ,Silicon on insulator ,Electronic, Optical and Magnetic Materials ,law.invention ,law ,MOSFET ,Electronic engineering ,Wafer ,Electrical and Electronic Engineering ,Metal gate ,Ground plane - Abstract
Using a novel process flow, we managed to cointegrate several devices on the same wafer; single gate (SG), ground plane (GP), perfectly aligned double gate (DG), misaligned DG and oversized back-gate DG. This paper reports the experimental evaluation of the gate architectures influence on the performance of silicon-on-insulator MOSFETs. DG MOSFETs, with gate lengths down to 40 nm, are experimentally compared to SG and GP MOSFETs. Short-channel effect (SCE) control, static performance and mobility are quantified for each architecture. When compared to SG and GP transistors, the DG transistor shows the best SCE control and performance as predicted by simulations. Gate coupling is demonstrated to be a sensitive and a nondestructive method to evaluate the real on-wafer alignment. Using this method, we report an experimental analysis of gate misalignment influence on DG MOSFETs' performance and SCE. It is found that misalignment primarily affects the subthreshold parameters due to an electrostatic control loss. The DG MOSFET with a slightly oversized back gate (10 nm on each side of the top gate) is a promising solution, if a 10% loss in dynamic performance can be tolerated.
- Published
- 2005
- Full Text
- View/download PDF
39. Towards the limits of conventional MOSFETs: case of sub 30 nm NMOS devices
- Author
-
Xavier Jehl, Simon Deleonibus, G. Bertrand, F. Balestra, Marc Sanquer, G. Guegan, and Bernard Previtali
- Subjects
Materials science ,business.industry ,Transistor ,Electrical engineering ,Short-channel effect ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,law.invention ,Single electron ,law ,Longitudinal field ,MOSFET ,Materials Chemistry ,Optoelectronics ,Degradation (geology) ,Electrical and Electronic Engineering ,business ,Drain current ,NMOS logic - Abstract
Thanks to ultimate Si nMOSFETs with physical gate length down to 16 nm, the main challenges related to conventional transistors have been estimated. Short channel effect control is difficult below 40 nm due to the large TED of BF 2 halos. Nevertheless drain current higher than 800 μA/μm @ V d =1.5 V can be reached. Such performance is not a consequence of non-stationary effects since these are limited by the degradation of the low longitudinal field mobility on conventional short transistor. Ultimate transport is also analysed thanks to short and narrow devices. At low temperature, these MOSFETs are shown to operate like single electron transistors.
- Published
- 2004
- Full Text
- View/download PDF
40. A 20 nm physical gate length NMOSFET with a 1.2 nm gate oxide fabricated by mixed dry and wet hard mask etching
- Author
-
G. Lecarval, J.L. Dichiaro, M. Heitzmann, A.M. Papon, P. Mur, F. Jourdan, Christian Caillat, F. Allain, François Martin, M.E. Nier, P. Fugier, B. Dal’zotto, Simon Deleonibus, Alain Toffoli, G. Guegan, Bernard Previtali, S. Tedesco, and S. Biswas
- Subjects
Materials science ,business.industry ,Etching (microfabrication) ,Gate oxide ,Materials Chemistry ,Gate length ,Electronic engineering ,Optoelectronics ,Electrical and Electronic Engineering ,Condensed Matter Physics ,business ,Hard mask ,Electronic, Optical and Magnetic Materials - Published
- 2002
- Full Text
- View/download PDF
41. (Invited) Sequential 3D Process Integration: Opportunities for Low Temperature Processing
- Author
-
Sébastien Kerdilès, Pablo Acosta-Alba, Benoit Mathieu, Marc Veillerot, Hervé Denis, François Aussenac, Fulvio Mazzamuto, Inès Toque-Tresonne, Karim Huet, Marie-Pierre Samson, Bernard Previtali, Laurent Brunet, Perrine Batude, and Claire Fenouillet-Beranger
- Abstract
3D sequential integration of two stacked transistor levels has been recently demonstrated with success on 300 mm wafers with a maximum thermal budget approaching 650°C-20 minutes for the completion of the top level [1]. However, such thermal budget is still too high to avoid any degradation of the bottom transistors performance [2]. Thus, for several technological modules, such as dopant activation, gate stack formation, spacers deposition and source/ drain epitaxy, very low temperature processes must be developed. For low temperature junction activation, solid phase epitaxy regrowth (SPER) is a first option, with typical annealing conditions around 600°C-1 minute [1]. Sub-microsecond laser annealing is a second approach, with the capability to selectively heat a sub-µm surface region. In this paper, we review recent advances in UV pulsed laser annealing in view of 3D sequential integration. Based on 2D numerical simulations, we optimized the process structure for the upper source and drain activation and recrystallization upon laser annealing (wavelength: 308 nm), while trying to avoid any degradation of the bottom transistor. An anti-reflective capping layer over the top transistors is found to reduce laser energy absorption in the upper gate (Figure 1). The lower gate maximum temperature can be reduced down to 600°C (pulse duration: 160 ns) with a structure combining favorable bottom BOX and inter-level SiO2 thicknesses (Figure 2). Moreover, with a shorter laser pulse (80 ns), the bottom level temperature can even approach 500°C while the upper level layers reach 1200°C. To mimic the optimal structures with simple vehicle tests and evaluate the laser annealing process window for dopant activation, SOI structures (25 nm BOX, 23 nm top Si layer) have been implanted with As, BF2 or P, then capped with 30 nm SiN and annealed using SCREEN-LASSE LT-3100 system at various laser energy densities. Sheet resistance measurements combined with SIMS profiles and TEM cross-section observations (Figure 3) allowed us to identify the different regimes encountered as a function of the laser fluence. The process window starts when the whole region amorphized by implantation on top of the single crystalline thin seed layer is melt, and stops with the full SOI layer melt. Around the optimal energy density, we reached perfect crystal recovery and high dopant activation levels, comparable to those obtained with spike RTP. Concerning the laser pulse duration, a trade-off is to be found: The process window is reasonably large in case of a 160 ns pulse and becomes narrower with a 80 ns pulse but such shorter laser treatment is more favorable in terms of heat diffusion. To avoid global routing congestion, the 3D sequential architecture requires the introduction of inter-tier Back-End-Of-line (iBEOL) levels routing the bottom tier. As a consequence, such iBEOL needs to support the thermal budget applied for the top tier processing. Coupling numerical simulations with experimental tests on simplified structures, we investigated the impact of pulsed laser annealing on such inter-level metal interconnection. Based on 28 nm standard design rules, we integrated one metal level (Cu) using porous SiOCH as ultra-low-k dielectric and the appropriate barrier layers. Before submitting such structures to laser annealing, we deposited 50 nm or 120 nm inter-level SiO2 and 20 nm amorphous Si (a-Si) to simulate the top transistor level. According to simulations, above relaxed interconnect regions (large interconnect pitch), the average thermal conductivity of the stack is lower, promoting a slightly faster temperature rise in these regions, compared to more dense regions. A thicker inter-level oxide layer contributes to reduce the peak temperature of the Cu interconnects. Finally, line resistance and lateral capacitance measurements show no modification upon laser annealing, even with conditions leading to the melt of the top a-Si layer, for both 50 and 120 nm inter-level oxides (Figure 4). All these results provide guidelines for low temperature junction formation in a 3D sequential integration using nanosecond laser annealing, reinforcing this technique as a true alternative to SPER. References [1] L. Brunet et al., Proceedings of symposium on VLSI Technology (2016) 7573428. [2] C. Fenouillet-Béranger et al., Proceedings. of Intern. Electron Devices Meeting (2014) 7047121 [3] C. Fenouillet-Béranger et al., Proceedings of SOI-3D-Subthreshold Microelectr. Technol. Unified Conf. (2016) 7804375 [4] P. Acosta-Alba et al., Proceedings of. Ion Implant. Technol. Conf. (2016) [5] S. Kerdilès et al., 16th Intern. Workshop on Junction Technol. (2016) p.72 Figure 1
- Published
- 2017
- Full Text
- View/download PDF
42. New insights on bottom layer thermal stability and laser annealing promises for high performance 3D VLSI
- Author
-
S. Chhun, R. Kachtouli, B. Mathieu, F. Aussenac, X. Garros, M. Casse, M.-P. Samson, A. Laurent, J.P. Barnes, L. Pasini, C. Reita, E. Richard, Claire Fenouillet-Beranger, M. Vinet, E. Petitprez, N. Guillot, Pascal Besson, Bernard Previtali, Fabrice Nemouchi, Perrine Batude, Pierre Perreau, V. Benevent, I. Toque-Tresonne, D. Barge, Laurent Brunet, Karim Huet, Sebastien Kerdiles, G. Druais, F. Deprat, H. Dansas, D. Lafond, V. Lu, and N. Rambal
- Subjects
Very-large-scale integration ,Materials science ,business.industry ,Doping ,Transistor ,Laser ,law.invention ,chemistry.chemical_compound ,chemistry ,law ,MOSFET ,Silicide ,Electronic engineering ,Optoelectronics ,Thermal stability ,Metal gate ,business - Abstract
For the first time the maximum thermal budget of in-situ doped source/drain State Of The Art (SOTA) FDSOI bottom MOSFET transistors is quantified to ensure transistors stability in Sequential 3D (CoolCube™) integration. We highlight no degradation of Ion/Ioff trade-off up to 550°C. Thanks to both metal gate work-function stability especially on short devices and silicide stability improvement, the top MOSFET temperature could be relaxed up to 500°C. Laser anneal is then considered as a promising candidate for junctions activation. Based on in-depth morphological and electrical characterizations it demonstrates very promising results for high performance Sequential 3D integration.
- Published
- 2014
- Full Text
- View/download PDF
43. nFET FDSOI activated by low temperature solid phase epitaxial regrowth: Optimization guidelines
- Author
-
C. Fenouillet-Beranger, Perrine Batude, M. Casse, P. Rivallin, Laurent Brunet, Bernard Previtali, B. Mathieu, Sebastien Martinie, Michel Haond, M. Vinet, Joris Lacord, Gerard Ghibaudo, N. Rambal, L. Pasini, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Work (thermodynamics) ,Planar ,Materials science ,business.industry ,Phase (matter) ,Doping ,Silicon on insulator ,Optoelectronics ,Nanotechnology ,Process simulation ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Epitaxy ,business - Abstract
session: FDSOI; International audience; Low temperature (LT) activation on Fully Depleted Silicon On Insulator by SPER is needed for 3D sequential integration and also provides interest to obtain highly doped abrupt junctions in the standard planar technology. In this work, through the confrontation of electrical data and KMC process simulation we identify the efficient lever to optimize the low temperature device performance. This work evidences that the most suitable integration for LT FET implies a LDD implantation before the first spacer and the raised source drain epitaxy.
- Published
- 2014
- Full Text
- View/download PDF
44. Monolithic 3D integration: A powerful alternative to classical 2D scaling
- Author
-
O. Faynot, Ogun Turkyilmaz, F. Deprat, F. Ponthenier, M.-P. Samson, Hossam Sarhan, G. Cibrario, L. Pasini, V. Lu, Claude Tabone, J-E. Michallet, M. Vinet, Perrine Batude, N. Rambal, Fabien Clermidy, O. Billoint, JM Hartmannn, Claire Fenouillet-Beranger, O. Rozeau, Benoit Sklenard, Laurent Brunet, Sebastien Thuries, and Bernard Previtali
- Subjects
Engineering ,business.industry ,law ,Scale (chemistry) ,MOSFET ,Transistor ,Hardware_INTEGRATEDCIRCUITS ,Electrical engineering ,Electronic engineering ,business ,3d ic design ,Scaling ,law.invention - Abstract
Monolithic or sequential 3D Integration is a powerful technological enabler for actual 3D IC design as the stacked layers can be connected at the transistor scale. This paper reviews the opportunities brought by M3DI and highlights the applications benefiting from this small 3D contact pitch. It also presents the technological challenges of this concept and offers a general overview of the potential solutions to obtain a high performance low temperature top transistor while keeping bottom MOSFET integrity.
- Published
- 2014
- Full Text
- View/download PDF
45. First demonstration of strained SiGe nanowires TFETs with ION beyond 700µA/µm
- Author
-
Nicolas Bernier, Pascal Nguyen, Bernard Previtali, Sorin Cristoloveanu, J.M. Hartmann, F. Glowacki, C. Le Royer, Claude Tabone, Sylvain Barraud, A. Villalon, M. Vinet, C. Vizioz, Sebastien Martinie, L. Tosti, F. Allain, Luca Selmi, Alberto Revelant, O. Rozeau, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Centre Hospitalier Régional Universitaire [Lille] (CHRU Lille), Università degli Studi di Udine - University of Udine [Italie], Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), ANR-10-LABX-0055,MINOS Lab,Minatec Novel Devices Scaling Laboratory(2010), European Project: 257267,ICT,FP7-ICT-2009-5,STEEPER(2010), and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,Band gap ,Nanowire ,Nanotechnology ,02 engineering and technology ,01 natural sciences ,tunnel transistors ,Ion ,MOSFET ,Ge-Si alloys ,0103 physical sciences ,field effect transistors ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,Metal gate ,Scaling ,010302 applied physics ,business.industry ,Subthreshold conduction ,021001 nanoscience & nanotechnology ,Electrostatics ,CMOS integrated circuits ,electrostatics ,energy gap ,nanowires ,Optoelectronics ,0210 nano-technology ,business - Abstract
session 8: Beyond CMOS; International audience; We present for the first time high performance Nanowire (NW) Tunnel FETs (TFET) obtained with a CMOS-compatible process flow featuring compressively strained Si 1-x Ge x (x=0, 0.2, 0.25) nanowires, Si 0.7 Ge 0.3 Source and Drain and High-K/Metal gate. Nanowire architecture strongly improves electrostatics, while low bandgap channel (SiGe) provides increased band-to-band tunnel (BTBT) current to tackle low ON current challenges. We analyse the impact of these improvements on TFETs and compare them to MOSFET ones. Nanowire width scaling effects on TFET devices are also investigated, showing a W -3 dependence of ON current (I ON ) per wire. The fabricated devices exhibit higher I ON than any previously reported TFET, with values up to 760μA/μm and average subthreshold slopes (SS) of less than 80mV/dec.
- Published
- 2014
- Full Text
- View/download PDF
46. FDSOI to nanowires and single electron transistors
- Author
-
Romain Wacquez, R. Coquand, Romain Lavieville, Xavier Jehl, M. Vinet, Olivier Faynot, M. Pierre, B. Roche, Bernard Previtali, S. Barraud, Thierry Poiroux, Veeresh Deshpande, P. Perreau, M. Sanquer, O. Cueto, Laurent Grenouillet, and Benoit Voisin
- Subjects
Materials science ,business.industry ,Transistor ,Nanowire ,Electrical engineering ,Coulomb blockade ,Hardware_PERFORMANCEANDRELIABILITY ,Electron ,law.invention ,Planar ,CMOS ,law ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Field-effect transistor ,business ,Hardware_LOGICDESIGN ,Electronic circuit - Abstract
This paper reviews how the evolution of FDSOI planar architecture towards Trigate Nanowires leads to a natural Single Electron Transistor and Field Effect Transistor convergence at room temperature. On one hand, this convergence sets up technological specifications to preserve CMOS operation. On the other hand it opens the path to room temperature hybrid circuits based on single electron transistors and MOSFETs. Further on, single electron effects can be downscaled to the ultimate single atom transistors and we demonstrate the practical performance of electron pumps for metrologic applications.
- Published
- 2014
- Full Text
- View/download PDF
47. Low temperature junction formation by solid phase epitaxy on thin film devices: Atomistic modeling and experimental achievements
- Author
-
Bernard Previtali, J.-C Barbe, M. Casse, Ignacio Martin-Bragado, Perrine Batude, P. Rivallin, Clement Tavernier, M. Vinet, Claire Fenouillet-Beranger, Sorin Cristoloveanu, Benoit Sklenard, Laurent Brunet, L. Pasini, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), Institute IMDEA Materials [Madrid], Institute IMDEA Materials, and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
Materials science ,business.industry ,optimisation ,low-temperature techniques ,Monte Carlo method ,Silicon on insulator ,solid phase epitaxial growth ,Monte Carlo methods ,Epitaxy ,silicon-on-insulator ,Condensed Matter::Materials Science ,Condensed Matter::Superconductivity ,Physical phenomena ,Phase (matter) ,Electronic engineering ,thin film devices ,Optoelectronics ,Junction formation ,semiconductor junctions ,Kinetic Monte Carlo ,Thin film ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,business - Abstract
session Novel Process and Devices S8-04; International audience; In this paper, we address the problem of junction formation with a low temperature processing (≤ 600°C) through Solid Phase Epitaxial Regrowth. We present the main experimental achievements and suggest solutions to optimize the junctions. In particular, atomistic simulations based on kinetic Monte Carlo (kMC) method allow getting insight into the complex physical phenomena that take place during junction formation.
- Published
- 2014
- Full Text
- View/download PDF
48. Insights in accesses optimization for nFET low temperature Fully Depleted Silicon On Insulator devices
- Author
-
Michel Haond, Gerard Ghibaudo, M. Casse, Benoit Sklenard, Perrine Batude, Bernard Previtali, L. Pasini, Claire Fenouillet-Beranger, P. Rivallin, M. Vinet, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), STMicroelectronics [Crolles] (ST-CROLLES), Institut de Microélectronique, Electromagnétisme et Photonique - Laboratoire d'Hyperfréquences et Caractérisation (IMEP-LAHC), Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Institut National Polytechnique de Grenoble (INPG)-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Centre National de la Recherche Scientifique (CNRS), Ducroquet, Frédérique, and Université Joseph Fourier - Grenoble 1 (UJF)-Institut polytechnique de Grenoble - Grenoble Institute of Technology (Grenoble INP )-Université Savoie Mont Blanc (USMB [Université de Savoie] [Université de Chambéry])-Institut National Polytechnique de Grenoble (INPG)-Centre National de la Recherche Scientifique (CNRS)
- Subjects
010302 applied physics ,Materials science ,10.1109/IWJT.2014.6842057 ,[SPI.NANO] Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,0103 physical sciences ,Electronic engineering ,Silicon on insulator ,02 engineering and technology ,[SPI.NANO]Engineering Sciences [physics]/Micro and nanotechnologies/Microelectronics ,021001 nanoscience & nanotechnology ,0210 nano-technology ,01 natural sciences ,Engineering physics - Abstract
session Annealing Technology S2-07; International audience; This work gives insights on the performance levers to optimize nFET Fully Depleted Silicon On Insulator sheet resistance with low temperature activation. Optimum dopant concentration, i.e clusterization limit for arsenic and phosphorus activated at 600°C has been extracted. This study shows that phosphorus appears to be the best candidate for nFET low temperature doping. Solid Phase Epitaxial Regrowth at 600°C enables to reach activation levels identical to the thermodynamic equilibrium at 1050°C
- Published
- 2014
49. 3D sequential integration opportunities and technology optimization
- Author
-
Claude Tabone, Ogun Turkyilmaz, Fabien Clermidy, Perrine Batude, Hossam Sarhan, J-E. Michallet, Claire Fenouillet-Beranger, M. Vinet, Laurent Brunet, G. Cibrario, Olivier Rozeau, Benoit Sklenard, Sebastien Thuries, O. Billoint, F. Deprat, and Bernard Previtali
- Subjects
Materials science ,business.industry ,Transistor ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,law.invention ,Planar ,CMOS ,law ,MOSFET ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Field-effect transistor ,business ,Scaling - Abstract
Compared with TSV-based 3D ICs, monolithic or sequential 3D ICs presnts “true” benefits of going to the vertical dimension as the stacked layers can be connected at the transistor scale. The high versatility of this technology is evidenced via several examples requiring small 3D contact pitch. Monolithic 3D is shown to enable substantial gain in area and performance as compared to planar technology without scaling the transistor technology node. This paper summarizes the technological challenges of this concept: it offers a general overview of the potential solutions to obtain a high performance low temperature top transistor while keeping bottom MOSFET integrity.
- Published
- 2014
- Full Text
- View/download PDF
50. Full CMP integration of CVD TiN damascene sub-0.1-μm metal gate devices for ULSI applications
- Author
-
H. Achard, L. Ulmer, F. Ducroquet, M.E. Nier, S. Tedesco, F. Coudert, T. Farjot, J.-F. Lugand, M. Heitzmann, Simon Deleonibus, Y. Gobil, and Bernard Previtali
- Subjects
Materials science ,Fabrication ,business.industry ,Transistor ,Copper interconnect ,Electrical engineering ,chemistry.chemical_element ,Hardware_PERFORMANCEANDRELIABILITY ,Electronic, Optical and Magnetic Materials ,law.invention ,chemistry ,law ,Gate oxide ,Chemical-mechanical planarization ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,Electrical and Electronic Engineering ,Tin ,Metal gate ,business ,AND gate ,Hardware_LOGICDESIGN - Abstract
Full chemical mechanical polishing (CMP) process integration of a W/TiN damascene metal gate has been optimized and is demonstrated to be compatible with ULSI circuit fabrication. Highly uniform and reliable electrical characteristics are achieved for widely ranged MOS pattern structures (from 0.1-/spl mu/m gate transistors up to 0.6-mm/sup 2/ capacitors). CVD TiN film as a damascene gate electrode shows excellent properties for MOS performances and gate oxide integrity even on ultrathin gate oxide (2-nm SiO/sub 2/).
- Published
- 2001
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.