112 results on '"James Chingwei Li"'
Search Results
2. 30.8 A 30GS/s double-switching track-and-hold amplifier with 19dBm IIP3 in an InP BiCMOS technology.
- Author
-
Timothy D. Gathman, Kristian N. Madsen, James Chingwei Li, Thomas C. Oh, and James F. Buckwalter
- Published
- 2014
- Full Text
- View/download PDF
3. 100 GHz+ Gain-Bandwidth Differential Amplifiers in a Wafer Scale Heterogeneously Integrated Technology Using 250 nm InP DHBTs and 130 nm CMOS.
- Author
-
James Chingwei Li, Kenneth R. Elliott, David S. Matthews, Donald A. Hitko, Daniel Zehnder, Yakov Royter, Pamela R. Patterson, Tahir Hussain, and Joseph F. Jensen
- Published
- 2009
- Full Text
- View/download PDF
4. InP HBT integrated circuit technology with selectively implanted subcollector and regrown device layers.
- Author
-
Marko Sokolich, Mary Y. Chen, Rajesh D. Rajavel, David H. Chow, Yakov Royter, Stephen Thomas III, Charles H. Fields, Binqiang Shi, Steven S. Bui, James Chingwei Li, Donald A. Hitko, and Kenneth R. Elliott
- Published
- 2004
- Full Text
- View/download PDF
5. Low Angle Annular Dark Field Scanning Transmission Electron Microscopy Analysis of Phase Change Material
- Author
-
K. Toole, N. Arnold, L. Tierney, M. Iwatake, N. Li, James J. Demarest, James Chingwei Li, K. Cheng, O. Ogundipe, K. Brew, Victor Chan, R. Pujari, and A. Gasasira
- Subjects
Materials science ,Optics ,business.industry ,Scanning transmission electron microscopy ,business ,Phase-change material ,Dark field microscopy - Abstract
In this work, we investigate mushroom type phase-change material (PCM) memory cells based on Ge2Sb2Te5. We use low-angle annular dark field (LAADF) STEM imaging and energy dispersive X-ray spectroscopy (EDX) to study changes in microstructure and elemental distributions in the PCM cells before and after SET and RESET conditions. We describe the microscope settings required to reveal the amorphous dome in the RESET state and present an application example involving the failure analysis of a PCM test array made with devices fabricated at IBM’s Albany AI Hardware Research Center.
- Published
- 2021
- Full Text
- View/download PDF
6. Failure Analysis Challenges of Phase Change Memory Test Structures with Two Case Studies
- Author
-
N. Arnold, M. Iwatake, I. Ok, James Chingwei Li, L. Tierney, Saraf Iqbal Rashid, Nicole Saulnier, T. Gordon, G. Lian, Victor Chan, A. Cote, S. McDermott, Kevin W. Brew, James J. Demarest, and A. Varghese
- Subjects
Phase-change memory ,Computer science ,Reliability engineering ,Test (assessment) - Abstract
There are several variants of artificial intelligence (AI) hardware structures that are under study by the semiconductor industry for potential use in complementary metal–oxide–semiconductor (CMOS) designs. This paper discusses some of the failure analysis challenges that have appeared in discrete test structures and test arrays developed as part of an exploratory phase-change memory (PCM) program at IBM's Albany AI Hardware Research Center.
- Published
- 2021
- Full Text
- View/download PDF
7. Impact of Nanosecond Laser Anneal on PVD Ru Films
- Author
-
Balasubramanian S. Pranatharthi Haran, F. Mazzamuto, James Chingwei Li, Takeshi Nogami, Christian Lavoie, R. Cornell, James J. Demarest, Oleg Gluschenkov, Devika Sil, J. Liu, Jean Jordan-Sweet, V. Stanic, A. Simon, K. Huet, and Yasir Sulehria
- Subjects
Materials science ,business.industry ,Annealing (metallurgy) ,Nanosecond ,Laser ,Fluence ,law.invention ,law ,Physical vapor deposition ,Optoelectronics ,Thin film ,Forming gas ,business ,Sheet resistance - Abstract
A novel nanosecond (ns) laser anneal (multiple laser shots at sub-melting low laser energy) was employed to reduce the blanket sheet resistance of Ru thin films deposited by physical vapor deposition (PVD). The laser anneal was conducted after PVD Ru deposition and then followed up with a standard 400°C anneal in a forming gas environment. Blanket sheet R decreased by 30% for the laser + furnace annealed Ru films, whereas the drop for just 400°C furnace annealed Ru films was only 18%. Multiple laser exposures at an optimized laser fluence was identified as a key factor in enabling this benefit at BEOL compatible thermal budget suitable for scaled-down Ru interconnects.
- Published
- 2021
- Full Text
- View/download PDF
8. Selective deposition of AlOx for Fully Aligned Via in nano Cu interconnects
- Author
-
K. Sharma, Thomas J. Haigh, Dennis M. Hausmann, James J. Demarest, Peethala Cornelius Brown, Paul C. Lemaire, James Chingwei Li, Arpan Mahorowala, Hosadurga Shobha, Hsiang-Jen Huang, Balasubramanian S. Pranatharthi Haran, Son V. Nguyen, and P. Ramani
- Subjects
Metal ,Materials science ,Chemical engineering ,visual_art ,Nano ,visual_art.visual_art_medium ,Molecule ,Deposition (phase transition) ,Chemical vapor deposition ,Dielectric ,Selectivity ,Selective deposition - Abstract
AlOx was selectively deposited on top of SiCOH in 32 nm pitch Cu-SiCOH pattern to form a Fully Aligned Via (FAV) test structure. Selective deposition process performance and its integration into the 5nm BEOL FAV structure were evaluated. The selective AlOx deposition involves multistep process including surface treatment, selective Self-Aligned Molecules (SAM) bonding to inhibit Cu metal surface, and the selective growth of AlOx on top of SiCOH dielectric using Chemical vapor deposition process with various precursors and process conditions below 300°C. Thin selective AlOx of 4–6 nm thickness show excellent selectivity on SiCOH over Co capped Cu-SiCOH patterned structures with various spacing. The Via Chain electrical yields were measured on 32 nm pitch structures by AlOx selective deposition and are comparable to the established FAV process by Cu wet recess. This indicates that the Selective AlOx deposition process is highly selective on SiCOH dielectric surface without defect formation in the Co Capped Cu surfaces.
- Published
- 2021
- Full Text
- View/download PDF
9. Stacked Gate-All-Around Nanosheet pFET with Highly Compressive Strained Si1-xGex Channel
- Author
-
V. Basker, Kai Zhao, Maruf Bhuiyan, Balasubramanian S. Pranatharthi Haran, Huimei Zhou, Nicolas Loubet, Miaomiao Wang, James Chingwei Li, Shogo Mochizuki, E. Stuckert, Huiming Bu, Jingyun Zhang, and Dechao Guo
- Subjects
Electron mobility ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,Germanium ,Epitaxy ,Subthreshold slope ,Silicon-germanium ,chemistry.chemical_compound ,Compressive strength ,chemistry ,Optoelectronics ,business ,Nanosheet - Abstract
Stacked Gate-All-Around (GAA) nanosheet pFETs with compressively strained Si 1-x Ge x channel have been fabricated to explore their electrical benefits. The Si 1-x Ge x NS channel structure with high crystalline quality and 1GPa compressive stress has been realized for the first time. Systematic study has been performed to understand the effect of epitaxial Si 1-x Ge x thickness, Ge fraction, and Si cap thickness on the Si 1-x Ge x NS channel device characteristics. It is found that the compressively strained Si 1-x Ge x NS channel provides a 100% uplift in peak hole mobility with a corresponding channel resistance reduction of 40% while maintaining an excellent subthreshold slope of below 70 mV/dec.
- Published
- 2020
- Full Text
- View/download PDF
10. A 14 nm Embedded STT-MRAM CMOS Technology
- Author
-
Virat Mehta, Devika Sil, V. Katragadda, E. R. Evarts, J. DeBrosse, Sanjay Mehta, Richard G. Southwick, C. Long, Abraham Arceo, Dominik Metzler, Theodorus E. Standaert, A. Gasasira, C.-C. Yang, Son Nguyen, Raghuveer R. Patlolla, P. Nieves, D. Houssameddine, E. R. J. Edwards, V. Pai, Thomas M. Maffitt, Daniel C. Worledge, Michael Rizzolo, James Chingwei Li, O. van der Straten, J. Fullam, J. Morillo, Yaocheng Liu, Heng Wu, R. Johnson, Chu Isabel Cristina, J. M. Slaughter, T. Levin, S. McDermott, R. Pujari, Guohan Hu, James J. Demarest, Daniel C. Edelstein, Ashim Dutta, Yutaka Nakamura, M. Iwatake, and M.R. Wordeman
- Subjects
Magnetoresistive random-access memory ,Tunnel magnetoresistance ,Reliability (semiconductor) ,Materials science ,CMOS ,Stack (abstract data type) ,business.industry ,Optoelectronics ,Node (circuits) ,Time-dependent gate oxide breakdown ,business ,Voltage - Abstract
We present the first Embedded Spin-Transfer-Torque MRAM (eMRAM) technology in a 14 nm CMOS node. A novel integration supports the highest eMRAM density (0.0273 um2 cell size), optimal magnetic tunnel junction (MTJ) placement between M1-M2 for performance and density, and the lowest-cost integration scheme, with only 3 added mask levels (2 critical + 1 non-critical) and a single added electrode module. An advanced 400°C-compatible MTJ stack is read and written by innovative reference-cell sensing circuitry. We demonstrate digital functionality and write performance down to 4 ns, with companion parametric analysis for magnetoresistance, switching voltage, retention, and endurance cycling. Finally, we checked the 14 nm eMRAM hardware BEOL EM and TDDB at the critical levels, verifying good reliability after the embedding process.
- Published
- 2020
- Full Text
- View/download PDF
11. Bottom Electrode Properties and Electrical Field Cycling Effects on HfOx based Resistive Switching Memory Device
- Author
-
Kangguo Cheng, Soon-Cheon Seo, James Chingwei Li, Ishtiaq Ahsan, A. J. Varghese, Dexin Kong, Nicole Saulnier, Vijay Narayanan, T. Ando, Robert R. Robison, Ramachandran Muralidhar, C. Robinson, and Youngseok Kim
- Subjects
Materials science ,Field cycling ,business.industry ,Electrode ,Optoelectronics ,Resistive switching memory ,business - Abstract
The continuously growing demands in high-density memories drive the rapid development of advanced memory technologies. In this work, we investigate the HfOx-based resistive switching memory (ReRAM) stack structure at nanoscale by high resolution TEM (HRTEM) and energy dispersive X-ray spectroscopy (EDX) before and after the forming process. Two identical ReRAM devices under different electrical test conditions are investigated. For the ReRAM device tested under a regular voltage bias, material redistribution and better bottom electrode contact are observed. In contrast, for the ReRAM device tested under an opposite voltage bias, different microstructure change occurs. Finite element simulations are performed to study the temperature distributions of the ReRAM cell with filaments formed at various locations relative to the bottom electrode. The applied electric field as well as the thermal heat are the driving forces for the microstructure and chemical modifications of the bottom electrode in ReRAM deceives.
- Published
- 2020
- Full Text
- View/download PDF
12. Middle of Line (MOL) Process Investigation in Ring Oscillator failure
- Author
-
Samuel S. Choi, Jay W. Strane, Victor Chan, Sean Teehan, James Chingwei Li, C. Le, James J. Demarest, Marc A. Bergendahl, A. Gaul, Dechao Guo, and Andrew M. Greene
- Subjects
Materials science ,Yield (engineering) ,Etching ,Logic gate ,Mole ,Phase (waves) ,Ring oscillator ,Ring (chemistry) ,Molecular physics ,Line (formation) - Abstract
Ring Oscillators (ROs) are used for yield learning during the research phase of a CMO technology. We performed cross-sections and showed that the open and short defects are in the middle of line (MOL) gate structures. The defects which are related to MOL or prior processes, as well as the design and density, will be discussed in the paper.
- Published
- 2020
- Full Text
- View/download PDF
13. Structural and Electrical Demonstration of SiGe Cladded Channel for PMOS Stacked Nanosheet Gate-All-Around Devices
- Author
-
M. Cogorno, S. C. Kung, Tushar Mandrekar, Balasubramanian S. Pranatharthi Haran, Mary Breton, Hemanth Jagannathan, Jingyun Zhang, James Chingwei Li, Benjamin Colombeau, Huimei Zhou, Shogo Mochizuki, Koji Watanabe, Nicolas Loubet, Sanjay Natarajan, M. Stolfi, and P. Chen
- Subjects
Negative-bias temperature instability ,Materials science ,Silicon ,business.industry ,chemistry.chemical_element ,02 engineering and technology ,010402 general chemistry ,021001 nanoscience & nanotechnology ,Epitaxy ,Cladding (fiber optics) ,01 natural sciences ,0104 chemical sciences ,Silicon-germanium ,PMOS logic ,Threshold voltage ,chemistry.chemical_compound ,chemistry ,Optoelectronics ,0210 nano-technology ,business ,Nanosheet - Abstract
In this paper, horizontal gate-all-around (hGAA) devices with a SiGe cladded nanosheet (NS) channel have been explored for their potential benefits of Vt modulation and improved NBTI. The SiGe cladded NS channel was formed through trimming of the Si NS channel followed by selective SiGe epitaxial growth. Selective Si NS channel trimming of 1 - 2 nm per side with low roughness and conformal SiGe cladding epitaxial growth of 2 - 3 nm with good crystallinity were demonstrated. It is shown that a SiGe cladding NS channel provides a reduction of threshold voltage (Vt) and improved reliability. It is also shown that a conformal Si cap grown on the SiGe cladded NS channel suppresses the interface trap density (Dit).
- Published
- 2020
- Full Text
- View/download PDF
14. Selective Enablement of Dual Dipoles for near Bandedge Multi-Vt Solution in High Performance FinFET and Nanosheet Technologies
- Author
-
Jing Guo, Balasubramanian S. Pranatharthi Haran, Miaomiao Wang, Paul C. Jamison, V. Basker, James Chingwei Li, Richard G. Southwick, Vijay Narayanan, Shanti Pancharatnam, Dechao Guo, Muthumanickam Sankarapandian, Nicolas Loubet, Ruqiang Bao, Huimei Zhou, Huiming Bu, Koji Watanabe, Mukesh Khare, Jingyun Zhang, and James J. Demarest
- Subjects
Materials science ,Silicon ,business.industry ,Transistor ,chemistry.chemical_element ,Compensation (engineering) ,Threshold voltage ,law.invention ,Reduction (complexity) ,Dipole ,chemistry ,law ,Logic gate ,Optoelectronics ,business ,Nanosheet - Abstract
We report that n-dipole and p-dipole (dual dipoles) can be co-integrated to provide a more flexible volumeless multiple threshold voltage(multi-Vt) solution in FinFET and Nanosheet (NS) technologies. The p-dipole process for dual dipoles co-integration is identified. When the Vt shift is less than 100m V, the mobility is slightly degraded, but other properties are not clearly affected. The improved pFET performance is from the Vt reduction. The dipole co-integration also provides a novel method for Vt definition via dipole Vt compensation. Our selective dipole enablement can implement near bandedge (BE) multi- Vt for high performance application.
- Published
- 2020
- Full Text
- View/download PDF
15. Pinch-Off Plasma CVD Deposition Process and Material Technology for Nano-Device Air Gap/Spacer Formation
- Author
-
Thomas J. Haigh, Kangguo Cheng, Liying Jiang, James Chingwei Li, Chanro Park, Christopher J. Penny, Don Canaperi, Son V. Nguyen, Sanjay Mehta, and Tenko Yamashita
- Subjects
010302 applied physics ,Materials science ,Nano devices ,business.industry ,020209 energy ,02 engineering and technology ,Plasma ,01 natural sciences ,Material technology ,Electronic, Optical and Magnetic Materials ,0103 physical sciences ,0202 electrical engineering, electronic engineering, information engineering ,Pinch ,Optoelectronics ,business ,Air gap (plumbing) ,Deposition process - Published
- 2018
- Full Text
- View/download PDF
16. Experimental method to thermally deembed pads from R[sub TH] measurements
- Author
-
James Chingwei Li, Hitko, Donald A., Sokolkich, Marko, and Asbeck, Peter M.
- Subjects
Bipolar transistors -- Design and construction ,Bipolar transistors -- Thermal properties ,Indium -- Thermal properties ,Indium -- Electric properties ,Semiconductor device ,Business ,Electronics ,Electronics and electrical industries - Abstract
Test structures with various width metal traces between the emitter pad and the device's emitter are fabricated in a 200-GHz InP double heterojunction bipolar transistor (HBT) process. A method of using the measured thermal resistance (R[sub TH]) of these structures and a simple resistive network model to deembed the pads is presented.
- Published
- 2006
17. Multiple-Vt Solutions in Nanosheet Technology for High Performance and Low Power Applications
- Author
-
Miaomiao Wang, Huimei Zhou, Alex Hubbard, Paul C. Jamison, Balasubramanian S. Pranatharthi Haran, Huiming Bu, Ruqiang Bao, Jing Guo, V. Basker, A. Gaul, Mukesh Khare, Nicolas Loubet, Koji Watanabe, James Chingwei Li, Daniel J. Dechene, Dechao Guo, Reinaldo A. Vega, Muthumanickam Sankarapandian, Shanti Pancharatnam, and Jingyun Zhang
- Subjects
010302 applied physics ,Computer science ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Supercomputer ,01 natural sciences ,Threshold voltage ,Reduction (complexity) ,0103 physical sciences ,Electronic engineering ,Wafer ,0210 nano-technology ,Metal gate ,Critical dimension ,Scaling ,Nanosheet - Abstract
In Nanosheet (NS) device architecture, it is much more challenging than FinFET to develop a suitable multiple threshold voltage (multi-Vt) integration with more restrictive requirement on the dimensions due to the critical dimension scaling and complex structure. In this abstract, we reported an innovative integration scheme to enable volumeless multi-Vt and metal multi-Vt to provide the multi-Vt solutions in NS technology for high performance computing (HPC) and low-power applications. We developed a new volumeless multi-Vt for NS to solve the device geometry constraint and offer more margin and the opportunity for further sheet-to-sheet spacing (Tsus) reduction. Furthermore, metal gate boundary control (MGBC) was developed to enable variable NS widths on the same wafer to satisfy both HPC and low-power applications.
- Published
- 2019
- Full Text
- View/download PDF
18. Full Bottom Dielectric Isolation to Enable Stacked Nanosheet Transistor for Low Power and High Performance Applications
- Author
-
Hosadurga Shobha, Tenko Yamashita, Chanro Park, Huiming Bu, R. Divakaruni, V. Basker, C. Adams, Dechao Guo, Jingyun Zhang, Lan Yu, Pietro Montanini, X.-H. Liu, A. Arceo De La Pena, Frougier Julien, Kai Zhao, Ruqiang Bao, Robert R. Robison, Nicolas Loubet, Balasubramanian S. Pranatharthi Haran, Muthumanickam Sankarapandian, Xin Miao, James Chingwei Li, Richard A. Conti, Tian Shen, Junli Wang, Praveen Joseph, Huimei Zhou, Koji Watanabe, Reinaldo A. Vega, Shanti Pancharatnam, Ruilong Xie, Curtis Durfee, A. Gaul, Daniel J. Dechene, Andrew M. Greene, Robin Chao, Dexin Kong, and Heng Wu
- Subjects
Dielectric isolation ,Materials science ,010308 nuclear & particles physics ,business.industry ,Effective capacitance ,Transistor ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Process variation ,law ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Nanosheet ,Leakage (electronics) - Abstract
In this paper, full bottom dielectric isolation (BDI) is first demonstrated on horizontally stacked Nanosheet device structures with Lmetal 12 nm. The comparison of full BDI scheme vs punch through stopper (PTS) scheme has been systematically studied. By comparing off-state leakage current, short channel behavior and effective capacitance (Ceff) for both schemes, we show that BDI could potentially provide: 1) good immunity of sub-channel leakage due to process variation (from parasitic "fat-Fin" which is unique in Nanosheet structure); 2) power-performance co-optimization.
- Published
- 2019
- Full Text
- View/download PDF
19. Imaging, Modeling and Engineering of Strain in Gate-All-Around Nanosheet Transitors
- Author
-
Jingyun Zhang, Nicolas Bernier, Victor Boureau, R. Coquand, O. Faynot, E. Augendre, James Chingwei Li, Nicolas Loubet, Tenko Yamashita, Raja Muthinti, Shay Reboh, and Robin Chao
- Subjects
010302 applied physics ,Contact test ,Materials science ,Strain (chemistry) ,business.industry ,Transistor ,02 engineering and technology ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Strain engineering ,Transmission electron microscopy ,law ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Communication channel ,Nanosheet - Abstract
We combine advanced transmission electron microscopy (TEM) and numerical models to draw the evolution of strains over the integration of horizontally stacked Gate-All-Around Nanosheet transistors (GAANS). In particular, we measured compressive strains of -0.5% to -1% after channel release in transistors at 10 nm design rule. With support on model calculations, we speculate that the effect is related to a compressive inter-layer dielectric (ILD). As another method to manipulate channel stresses, in a specifically designed GAANS we demonstrate a transition from compressive to tensile strain introduced by a gate stack/contact test modules. Finally, a demonstration of GAANS Si-channel cladded with SiGe opens a way for the co-integration of compressive SiGe channels with limited modification of the integration flow. The findings provide insights and guidelines for strain engineering in GAANS.
- Published
- 2019
- Full Text
- View/download PDF
20. SiGe Channel CMOS: Understanding Dielectric Breakdown and Bias Temperature Instability Tradeoffs
- Author
-
James Chingwei Li, Shogo Mochizuki, Miaomiao Wang, Richard G. Southwick, Xin Miao, and Lee Choonghyun
- Subjects
010302 applied physics ,Materials science ,CMOS ,Dielectric strength ,business.industry ,Temperature instability ,0103 physical sciences ,Optoelectronics ,Relaxation (physics) ,business ,01 natural sciences ,Communication channel - Abstract
Breakdown and bias temperature instability for n/pFETs are studied on a wide composition of SiGe channels on different strain relaxation buffers. This study represents the first in-depth look at AC/DC PBTI trends of low Ge% SiGe nFinFETs. Dielectric breakdown is shown to be largely independent of channel composition over the region studied. Finally, we calculate the end-of-life performance benefit compared to Si, demonstrating the potential benefit of CMOS SiGe as a technology element.
- Published
- 2019
- Full Text
- View/download PDF
21. Power detectors for integrated microwave/mm-wave imaging systems in mainstream silicon technologies
- Author
-
Qun Jane Gu, Adrian Tang, and James Chingwei Li
- Subjects
Engineering ,Silicon ,Physics::Instrumentation and Detectors ,ComputingMethodologies_IMAGEPROCESSINGANDCOMPUTERVISION ,chemistry.chemical_element ,02 engineering and technology ,Passive imaging ,Narrowband ,0202 electrical engineering, electronic engineering, information engineering ,Materials Chemistry ,Electronic engineering ,Electrical and Electronic Engineering ,Wideband ,business.industry ,020208 electrical & electronic engineering ,Detector ,Bandwidth (signal processing) ,Electrical engineering ,020206 networking & telecommunications ,Condensed Matter Physics ,Electronic, Optical and Magnetic Materials ,CMOS ,chemistry ,High Energy Physics::Experiment ,business ,Microwave - Abstract
This paper analyzes and compares three different types of detectors, including CMOS power detectors, bipolar power detectors, and super-regenerative detectors, deployed in the literature for integrated microwave/mm-wave imaging systems in mainstream silicon technologies. Each detector has unique working mechanism and demonstrates different behavior with respects to bias conditions, input signal power, as well as bandwidth responses. Two Figure-of-Merits for both wideband and narrowband imaging have been defined to quantify the detector performance comparison. CMOS and Bipolar detectors are good for passive imaging, while super regenerative detectors are superior for active imaging. The analytical results have been verified by both simulation and measurement results. These analyses intend to provide design insights and guidance for integrated microwave/mm-wave imaging power detectors.
- Published
- 2016
- Full Text
- View/download PDF
22. Toward High Performance SiGe Channel CMOS: Design of High Electron Mobility in SiGe nFinFETs Outperforming Si
- Author
-
Pouya Hashemi, Ruqiang Bao, Vijay Narayanan, Dechao Guo, Miaomiao Wang, Shogo Mochizuki, Hemanth Jagannathan, Injo Ok, Xin Miao, T. Ando, James Chingwei Li, Lee Choonghyun, Nicolas Loubet, and Richard G. Southwick
- Subjects
010302 applied physics ,Electron mobility ,Materials science ,business.industry ,Electron trapping ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Electrostatics ,01 natural sciences ,Strain engineering ,CMOS ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,High electron ,business ,Simulation based ,Conduction band - Abstract
For the first time, high electron mobility in tensile-strained SiGe channel nFinFETs outperforming Si is reported to explore the feasibility of high performance SiGe CMOS. To examine the electron mobility behaviors in SiGe channel, a series of tensile-strained SiGe nFinFETs are fabricated on various strain relaxed buffer layers by taking into account the minimum threading dislocation density and strain engineering. For SiGe $(\text{Ge} > 20\%)$ nFinFETs, we identify the existence of additional electron trapping site close to the conduction band edge in IL/HK, leading to the abnormal Vt shift, PBTI degradation, and low electron mobility. We also fabricated short-channel SiGe nFinFETs, which exhibit excellent cut-off behavior and electrostatics (SS ∼65mV/dec and DIBL ∼18mV at $\mathrm{V}_{\text{DD}}=0.7\mathrm{V}$ ). In addition, the dynamic performance of tensile-strained SiGe CMOS against Si CMOS is evaluated by TCAD simulation based on experimental data.
- Published
- 2018
- Full Text
- View/download PDF
23. Impact of Liner Metals on Copper Resistivity at Beyond 7nm Dimensions
- Author
-
C.-C. Yang, Terry A. Spooner, James Chingwei Li, J. Maniscalco, Hosadurga Shobha, Griselda Bonilla, Motoyama Koichi, Hsiang-Jen Huang, Takeshi Nogami, Theodorus E. Standaert, and Nicholas A. Lanzillo
- Subjects
010302 applied physics ,Materials science ,Scattering ,chemistry.chemical_element ,02 engineering and technology ,Conductivity ,021001 nanoscience & nanotechnology ,Thermal conduction ,01 natural sciences ,Copper ,chemistry ,Electrical resistivity and conductivity ,0103 physical sciences ,Grain boundary ,Composite material ,0210 nano-technology ,Temperature coefficient ,Cobalt - Abstract
The impacts of ruthenium and cobalt liners on copper resistivity have been investigated at beyond 7nm dimensions. Liner metal conduction was carefully evaluated in a Cu resistivity derivation using the temperature coefficient of resistivity (TCR) approach. Cu resistivity with Ru liner is higher than with a Co liner by 10-15%, which is verified by RC plot. The resistivity difference is attributed to interface scattering and possibly grain boundary scattering. Interface ab initio calculations show 3-7% increase of Cu resistivity from Co liner to Ru liner.
- Published
- 2018
- Full Text
- View/download PDF
24. Process Challenges in Fully Aligned Via Integration for sub 32 nm Pitch BEOL
- Author
-
Paul S. McLaughlin, Thomas J. Haigh, Devika Sil, Huai Huang, Nicholas A. Lanzillo, Raghuveer R. Patlolla, Pranita Kerber, Hosadurga Shobha, James Chingwei Li, C. B. Pcethala, Yongan Xu, Donald F. Canaperi, James J. Demarest, Elbert E. Huang, Chanro Park, Clevenger Leigh Anne H, Benjamin D. Briggs, Licausi Nicholas, Jae Gon Lee, M. Ali, Son Nguyen, Young-Wug Kim, Theodorus E. Standaert, C. T. Le, G. Lian, Griselda Bonilla, Errol Todd Ryan, Han You, and David L. Rath
- Subjects
Line resistance ,Materials science ,Electrical resistivity and conductivity ,business.industry ,Audio time-scale/pitch modification ,Optoelectronics ,Insulator (electricity) ,Dielectric ,business ,Scaling ,Electronic mail ,Exponential function - Abstract
As BEOL pitch continues to aggressively scale, contributions from pattern dimension and edge placement constrict the available geometry of interconnects. In particular, the critical minimum insulator spacing which defines a technologies max operating voltage is now limited by Vx to Mx spacing. This spacing has historically been a challenge since the introduction of self-aligned vias due to the loss of CD and chamfer control in the non-self-aligned direction. As pitch continued to shrink from self-aligned via introduction around the 22 nm node, the fraction of via CD control and edge placement compared to the dielectric spacing between interconnects has continued to grow. Alone this trend could be combated by increasing the dielectric spacing, however, the exponential increase in Cu resistivity (under scaling) has forced BEOL technologies into strong line/space asymmetry to keep line resistance under control. At pitches below 32 nm these factors reach a tipping point, either design to exponentially increasing line resistance or lower the technology Vmax. Both approaches cause performance degradation to achieve pitch scaling
- Published
- 2018
- Full Text
- View/download PDF
25. A High-Linearity, 30 GS/s Track-and-Hold Amplifier and Time Interleaved Sample-and-Hold in an InP-on-CMOS Process
- Author
-
James F. Buckwalter, James Chingwei Li, Timothy Donald Gathman, Saeid Daneshgar, Kristian Madsen, and Thomas C. Oh
- Subjects
Engineering ,business.industry ,Amplifier ,Electrical engineering ,Linearity ,Hardware_PERFORMANCEANDRELIABILITY ,Sample and hold ,BiCMOS ,law.invention ,Capacitor ,Integrated injection logic ,CMOS ,law ,Hardware_INTEGRATEDCIRCUITS ,Electronic engineering ,Electrical and Electronic Engineering ,business ,Electronic circuit - Abstract
A high-speed, track-and-hold amplifier and interleaved CMOS sample-and-hold circuit are implemented in an InP-on-CMOS fabrication process. Conventional 50- $\Omega $ interchip interconnects between III-V and CMOS circuits are eliminated with heterogeneous integration of III-V on CMOS, yielding higher performance circuits at lower power consumption. The track-and-hold amplifier is based on a double-switching feedback architecture using 250 nm InP HBTs and achieves an IIP3 of 19 dBm at a sampling rate of 30 GS/s. To the author's knowledge, this is the first published result of a high-speed track-and-hold amplifier in an InP BiCMOS process and the first implementation of a feedback linearized track-and-hold at a sampling rate above 2 GS/s. Additionally, a novel HBT buffer with feedback is demonstrated to offer high linearity and low power for driving time-interleaved CMOS sample-and-hold circuits. A 90 nm time-interleaved CMOS sample-and-hold circuit is demonstrated to achieve better than $-$ 53 dBc ${\rm HD}_3$ at a sampling rate of 5 GS/s while consuming roughly 24 mW per channel.
- Published
- 2015
- Full Text
- View/download PDF
26. 0.8/2.2-GHz Programmable Active Bandpass Filters in InP/Si BiCMOS Technology
- Author
-
Yakov Royter, Irma Valles, Samuel Kim, Deborah Winklea, Thomas C. Oh, Maggy Lau, Donald A. Hitko, Q. Jane Gu, James Chingwei Li, Steven T. W. Chen, and Zhiwei Xu
- Subjects
Engineering ,Radiation ,business.industry ,Heterojunction bipolar transistor ,Electrical engineering ,Linearity ,Condensed Matter Physics ,Noise (electronics) ,Programmable-gain amplifier ,CMOS ,Band-pass filter ,Electrical and Electronic Engineering ,Center frequency ,business ,Passband - Abstract
Programmable active bandpass filters (BPFs) have been designed in a chip-scale heterogeneous integration technology, which intimately integrates InP HBTs on a deep scaled CMOS technology. Therefore, the active BPF can leverage both high performance of InP HBT and high density and programmability of CMOS. Two BPF prototypes, consisting of a programmable gain amplifier (PGA), a fifth- or third-order BPF core, and a buffer, have been designed and fabricated. The BPF center frequency can be switched from 0.8 to 2.2 GHz with 150-MHz passband and delivers ${>}{\hbox{55}}$ -dB out-of-band (OOB) rejection for the fifth-order one. Four gain steps: 0, 6, 12, and 16 dB, are enabled by the front PGA to trade off noise and linearity performances. Due to the ${>} {\hbox{300}}$ -GHz ${ f}_{ T}$ of InP HBTs, the BPF cores can leverage active-RC architecture for high linearity owing to the close-loop implementation. The fifth-order BPF prototype occupies a 1.5 $\,\times\,$ 1.02 mm $^{2}$ area together with pads and draws 106/121 mA from a 3.3-V power supply for 0.8/2.2-GHz bands, respectively, which demonstrates OOB output third-order intercept points (OIP3s) of 22.69/21.25 dBm for 0.8/2.2-GHz bands at the high gain mode. The measurement results suggest the fifth-order BPF core achieves 36.69/35.25-dBm OOB OIP3s. In addition, the designed third-order programmable BPF has been successfully used as a technology yield vehicle to assist the BiCMOS technology development.
- Published
- 2015
- Full Text
- View/download PDF
27. Strain, stress, and mechanical relaxation in fin-patterned Si/SiGe multilayers for sub-7 nm nanosheet gate-all-around device technology
- Author
-
Nicolas Bernier, Nicolas Loubet, R. Coquand, Tenko Yamashita, James Chingwei Li, O. Faynot, J. Gaudiello, Sylvain Barraud, G. Audoit, Shay Reboh, E. Augendre, Jean-Luc Rouvière, Narciso Gambacorti, Commissariat à l'énergie atomique et aux énergies alternatives - Laboratoire d'Electronique et de Technologie de l'Information (CEA-LETI), Direction de Recherche Technologique (CEA) (DRT (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA), Laboratoire de Génie Civil et d'Ingénierie Environnementale (LGCIE), Université Claude Bernard Lyon 1 (UCBL), Université de Lyon-Université de Lyon-Institut National des Sciences Appliquées de Lyon (INSA Lyon), Université de Lyon-Institut National des Sciences Appliquées (INSA)-Institut National des Sciences Appliquées (INSA), STMicroelectronics [Crolles] (ST-CROLLES), Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), Laboratoire d'Etude des Matériaux par Microscopie Avancée (LEMMA ), Modélisation et Exploration des Matériaux (MEM), Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Direction de Recherche Fondamentale (CEA) (DRF (CEA)), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG), Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Commissariat à l'énergie atomique et aux énergies alternatives (CEA)-Université Grenoble Alpes [2016-2019] (UGA [2016-2019]), IBM Corporation, New York, IBM Corporation, and Université Grenoble Alpes [2016-2019] (UGA [2016-2019])-Institut de Recherche Interdisciplinaire de Grenoble (IRIG)
- Subjects
010302 applied physics ,[PHYS]Physics [physics] ,Materials science ,Physics and Astronomy (miscellaneous) ,Silicon ,business.industry ,Stress–strain curve ,chemistry.chemical_element ,Silicon on insulator ,Germanium ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Strain engineering ,Semiconductor ,chemistry ,Nanoelectronics ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,ComputingMilieux_MISCELLANEOUS ,Nanosheet - Abstract
Pre-strained fin-patterned Si/SiGe multilayer structures for sub-7 nm stacked gate-all-around Si-technology transistors that have been grown onto bulk-Si, virtually relaxed SiGe, strained Silicon-On-Insulator, and compressive SiGe-On-Insulator were investigated. From strain maps with a nanometer spatial resolution obtained by transmission electron microscopy, we developed 3D quantitative numerical models describing the mechanics of the structures. While elastic interactions describe every other system reported here, the patterning on the compressive SiGe-On-Insulator substrate that is fabricated by Ge-condensation results in relaxation along the semiconductor/insulator interface, revealing a latent plasticity mechanism. As a consequence, Si layers with a uniaxial stress of 1.4 GPa are obtained, bringing fresh perspectives for strain engineering in advanced devices. These findings could be extended to other semiconductor technologies.
- Published
- 2018
- Full Text
- View/download PDF
28. Converting SOI to sSOI through Amorphization and Crystallization: Material Analysis and Device Demonstration
- Author
-
Pierre Morin, E. Augendre, C. Le Royer, Joel Kanyandekwe, N. Bernier, Y. Morand, B. Lherron, L. Grenouillet, Oliver Faynot, J. M. Hartmann, M. Celik, James Chingwei Li, Sylvain Maitrejean, B. De Salvo, F. Chafik, Nicolas Loubet, Hong He, R. Wacquez, S. Reboh, Aomar Halimaoui, Bruce B. Doris, Qing Liu, S. Pilorget, and A. Bonnevialle
- Subjects
Materials science ,law ,Material analysis ,Analytical chemistry ,Crystallization ,Electronic, Optical and Magnetic Materials ,law.invention - Abstract
Converting SOI to sSOI through Amorphization and Crystallization: Material Analysis and Device Demonstration S. Maitrejean,a,z N. Loubet,b E. Augendre,a P. Morin,b S. Reboh,c N. Bernier,c R. Wacquez,a B. Lherron,b A. Bonnevialle,c,d Q. Liu,b J. M. Hartmann,c,∗ H. He,e A. Halimaoui,d J. Li,e S. Pilorget,b J. Kanyandekwe,b L. Grenouillet,c F. Chafik,b Y. Morand,d C. Le Royer,c O. Faynot,a M. Celik,b B. Doris,e and B. De Salvoa
- Published
- 2015
- Full Text
- View/download PDF
29. A comparative study of strain and Ge content in Si1−xGex channel using planar FETs, FinFETs, and strained relaxed buffer layer FinFETs
- Author
-
Vijay Narayanan, Dechao Guo, T. Ando, Balasubramanian S. Pranatharthi Haran, Hemanth Jagannathan, Ruqiang Bao, Lee Choonghyun, Richard G. Southwick, James Chingwei Li, Shariq Siddiqui, C. Labelle, J. H. Stathis, Rohit Galatage, Andreas Knorr, Shogo Mochizuki, and Xin Miao
- Subjects
010302 applied physics ,Materials science ,Silicon ,Strain (chemistry) ,business.industry ,Transistor ,chemistry.chemical_element ,02 engineering and technology ,Substrate (electronics) ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,Planar ,chemistry ,law ,Logic gate ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,business ,Layer (electronics) ,Communication channel - Abstract
Strained Si 1−x Ge x channel pFinFETs and planar pFETs are fabricated on a strain relaxed buffer virtual substrate to comparatively study the electrical impact of strain and Ge content in the Si 1−x Ge x channel. By comparing the transistor electrical properties of Si 1−x Ge x pFETs on SRB with Si 1−x Ge x pFETs on Si substrate, we successfully decouple the influence of strain and Ge content in the Si 1−x Ge x channel on device performance such as gate stack quality, reliability, and carrier transport. Based on these understandings, dual channel Si/Si 1−x Ge x FinFETs on the SRB with the optimized surface orientation is proposed to further improve the device performance.
- Published
- 2017
- Full Text
- View/download PDF
30. Fully aligned via integration for extendibility of interconnects to beyond the 7 nm node
- Author
-
Yongan Xu, Peethala Cornelius Brown, Hosadurga Shobha, Chanro Park, Huai Huang, Devika Sil, Pranita Kerber, Raghuveer R. Patlolla, David L. Rath, Clevenger Leigh Anne H, M. Ali, James Chingwei Li, Jae Gon Lee, Paul S. McLaughlin, Benjamin D. Briggs, Thomas J. Haigh, C. T. Le, G. Lian, Theodorus E. Standaert, Son Nguyen, Nicholas A. Lanzillo, Licausi Nicholas, Donald F. Canaperi, Elbert E. Huang, Errol Todd Ryan, Han You, Griselda Bonilla, James J. Demarest, and Young-Wug Kim
- Subjects
010302 applied physics ,business.industry ,Time-dependent gate oxide breakdown ,Insulator (electricity) ,02 engineering and technology ,Overlay ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,0103 physical sciences ,Optoelectronics ,0210 nano-technology ,Contact area ,business ,Scaling ,Critical dimension - Abstract
A fully aligned via (FAV) integration scheme is introduced and demonstrated at 36 nm metal pitch, with extendibility to beyond the 7 nm node. Selective chemistries were developed to recess Cu and W wires and their associated barrier liner materials, so as to create local topography with no adverse effects on these wiring levels or their dielectrics. Dielectric cap layers were optimized for excellent via RIE selectivity, to act as via guiding structures during subsequent level pattern definition. This combination mitigates via overlay and critical dimension (CD) errors. FAV integration can enable line/via area scaling for 70% lower line resistances and 30% larger via contact areas at the same node. Concurrently, FAV improves TDDB reliability through increased minimum insulator spacing, and EM reliability by maximizing via/wire contact area.
- Published
- 2017
- Full Text
- View/download PDF
31. Comparison of key fine-line BEOL metallization schemes for beyond 7 nm node
- Author
-
X. Zhang, J. Maniscalco, James Chingwei Li, B. Peethala, Son Nguyen, Han You, Nicholas A. Lanzillo, G. Lian, Vamsi Paruchuri, Takeshi Nogami, Hosadurga Shobha, X. Lin, Scott DeVries, Benjamin D. Briggs, Terry A. Spooner, Raghuveer R. Patlolla, Terence Kane, Daniel C. Edelstein, Huai Huang, James J. Kelly, Theodorus E. Standaert, C.-C. Yang, Jae Gon Lee, Motoyama Koichi, Prasad Bhosale, Donald F. Canaperi, S. Lian, P. McLaughlin, James J. Demarest, Devika Sil, and Alfred Grill
- Subjects
010302 applied physics ,Materials science ,Scattering ,business.industry ,02 engineering and technology ,Conductivity ,Fine line ,021001 nanoscience & nanotechnology ,01 natural sciences ,Line resistance ,Laser linewidth ,0103 physical sciences ,Electronic engineering ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business ,Line (formation) - Abstract
For beyond 7 nm node BEOL, line resistance (R) is assessed among four metallization schemes: Ru; Co; Cu with TaN/Ru barrier, and Cu with through-cobalt self-forming barrier (tCoSFB) [1]. Line-R vs. linewidth of Cu fine wires with TaN/Ru barrier crosses over with barrier-less Ru and Co wires for beyond-7 nm node dimensions, whereas Cu with tCoSFB remains competitive, with the lowest line R for 7 nm and beyond. Our study suggests promise of this last scheme to meet requirements in line R and EM reliability.
- Published
- 2017
- Full Text
- View/download PDF
32. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET
- Author
-
Nelson Felix, Spyridon Skordas, Rohit Galatage, Junli Wang, Dinesh Gupta, Xin Miao, Deok-Hyung Lee, R. Divakaruni, John C. Arnold, Vamsi Paruchuri, Ohyun Kwon, Adra Carr, Seng Luan Lee, Soon-Cheon Seo, T. Gow, James Chingwei Li, Muthumanickam Sankarapandian, Y. Xu, Zuoguang Liu, D. Corliss, Stuart A. Sieg, Robert C. Wong, Chun Wing Yeung, Albert M. Young, Jingyun Zhang, Jeffrey C. Shearer, Huiming Bu, C. Labelle, Zhenxing Bi, Bassem Hamieh, M. Guillom, Andreas Knorr, Tenko Yamashita, Jae-Yoon Yoo, D. Brown, Peng Xu, Robin Chao, Dexin Kong, Terence B. Hook, P. Oldiges, T. Wu, Shogo Mochizuki, Young-Kwan Park, W. Xu, Raja Muthinti, S. Lian, Ruqiang Bao, S. Kanakasabapathy, Myung-Hee Na, Richard A. Conti, Frougier Julien, Robert R. Robison, Nicolas Loubet, Yann Mignot, Theodorus E. Standaert, Hemanth Jagannathan, Ho Ju Song, Pietro Montanini, Myounggon Kang, John G. Gaudiello, Mukesh Khare, Abraham Arceo, Su Chen Fan, and Andrew M. Greene
- Subjects
010302 applied physics ,Materials science ,business.industry ,Extreme ultraviolet lithography ,Transistor ,Nanotechnology ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Electrostatics ,01 natural sciences ,law.invention ,law ,Logic gate ,0103 physical sciences ,Stiction ,Optoelectronics ,Work function ,0210 nano-technology ,business ,Nanosheet ,Leakage (electronics) - Abstract
In this paper, for the first time we demonstrate that horizontally stacked gate-all-around (GAA) Nanosheet structure is a good candidate for the replacement of FinFET at the 5nm technology node and beyond. It offers increased W eff per active footprint and better performance compared to FinFET, and with a less complex patterning strategy, leveraging EUV lithography. Good electrostatics are reported at L g =12nm and aggressive 44/48nm CPP (Contacted Poly Pitch) ground rules. We demonstrate work function metal (WFM) replacement and multiple threshold voltages, compatible with aggressive sheet to sheet spacing for wide stacked sheets. Stiction of sheets in long-channel devices is eliminated. Dielectric isolation is shown on standard bulk substrate for sub-sheet leakage control. Wrap-around contact (WAC) is evaluated for extrinsic resistance reduction.
- Published
- 2017
- Full Text
- View/download PDF
33. Cobalt/copper composite interconnects for line resistance reduction in both fine and wide lines
- Author
-
J. Maniscalco, James J. Kelly, Huai Huang, James Chingwei Li, Hosadurga Shobha, G. Lian, R. Hengstebeck, P. McLaughlin, Prasad Bhosale, Raghuveer R. Patlolla, Theodorus E. Standaert, James J. Demarest, Takeshi Nogami, Daniel C. Edelstein, B. Peethala, Donald F. Canaperi, Benjamin D. Briggs, Son Nguyen, X. Zhang, and Vamsi Paruchuri
- Subjects
010302 applied physics ,Interconnection ,Materials science ,Diffusion barrier ,business.industry ,Annealing (metallurgy) ,Composite number ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Copper ,chemistry ,0103 physical sciences ,Volume fraction ,Electronic engineering ,Optoelectronics ,0210 nano-technology ,business ,Electrical conductor ,Cobalt - Abstract
Co/Cu composite interconnect systems were studied. Since wide Cu lines require a diffusion barrier which is simultaneously applied also to fine Co lines to reduce Co volume fraction, through-Cobalt Self-Formed-Barrier (tCoSFB) was employed to thin down TaN barrier to
- Published
- 2017
- Full Text
- View/download PDF
34. (Invited) Heterogeneous Integration of InP HBTs on CMOS: Leveraging and Providing Value to Conventional Silicon Technologies
- Author
-
Dustin Le, Yakov Royter, Donald A. Hitko, K.R. Elliott, Margaret F. Boag-O'Brien, Daniel Zehnder, Steven T. W. Chen, Pamela R. Patterson, Thomas C. Oh, M.C. Montes, James Chingwei Li, Samual Kim, Tahir Hussain, Aurelio Lopez, Marko Sokolich, Fiona C. Ku, David H. Chow, Moonmoon Akmal, J. Duvall, Irma Valles, Eason F. Wang, and Peter D. Brewer
- Subjects
Interconnection ,Wafer-scale integration ,Materials science ,Silicon ,business.industry ,Electrical engineering ,chemistry.chemical_element ,Material system ,Hardware_PERFORMANCEANDRELIABILITY ,BiCMOS ,Silicon based ,chemistry ,CMOS ,Transistor count ,Hardware_INTEGRATEDCIRCUITS ,business - Abstract
Historically, compound semiconductors have enjoyed the benefit of material properties that lend themselves to high performance electron devices, but the ability to fabricate complex, high transistor count ICs is limited by the relative immaturity of the material system and small commercial market. Silicon based devices have made significant advances in device performance, but have not yet matched compound semiconductor device performance. However, a large commercial market has allowed the silicon system to mature and produce billion transistor count ICs in high volume. It would be advantageous to combine the merits of both compound semiconductors and silicon based CMOS/BiCMOS to enable a new class of high performance ICs. This work will review HRL's efforts in wafer scale integration of an advanced 250nm, 350GHz fT/fMAX InP DHBT technology with RF-CMOS technologies whose device proximity; heterogeneous interconnect density; and additional technology features both leverage and provide additional value to conventional silicon technologies.
- Published
- 2013
- Full Text
- View/download PDF
35. Electrodeposited Cu Film Morphology on Thin PVD Cu Seed Layers
- Author
-
Daniel C. Edelstein, C. Parks, Takeshi Nogami, James J. Kelly, O. van der Straten, Christopher J. Penny, James Chingwei Li, X. Lin, James J. Demarest, X. Zhang, and R. Murphy
- Subjects
Morphology (linguistics) ,Materials science ,Chemical engineering ,Renewable Energy, Sustainability and the Environment ,Materials Chemistry ,Electrochemistry ,Condensed Matter Physics ,Surfaces, Coatings and Films ,Electronic, Optical and Magnetic Materials - Published
- 2013
- Full Text
- View/download PDF
36. Air spacer for 10nm FinFET CMOS and beyond
- Author
-
Chun Wing Yeung, Raja Muthinti, Kangguo Cheng, Xin Miao, Miaomiao Wang, Tenko Yamashita, Hao Tang, Charan V. V. S. Surisetty, Stan D. Tsai, Son Nguyen, Jingyun Zhang, James Chingwei Li, Zuoguang Liu, Huiming Bu, Sanjay Mehta, Chanro Park, and Rama Divakaruni
- Subjects
010302 applied physics ,Materials science ,business.industry ,Transistor ,Electrical engineering ,02 engineering and technology ,Ring oscillator ,Dielectric ,021001 nanoscience & nanotechnology ,01 natural sciences ,Capacitance ,law.invention ,CMOS ,Parasitic capacitance ,law ,Logic gate ,0103 physical sciences ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business - Abstract
For the first time, we report integration of air spacers with FinFET technology at 10nm node dimensions. The benefit of parasitic capacitance reduction by air spacers has been successfully demonstrated both at transistor level (15–25% reduction in overlap capacitance (C OT )) and at ring oscillator level (10–15% reduction in effective capacitance (Cf)). Key process challenges and device implications of integrating air spacers in FinFET are identified. We propose a partial air spacer scheme, in which air spacers are formed only above fin top and sandwiched by two dielectric liners, as a viable option to adopt air spacers in FinFET technology with minimal risks to yield and reliability.
- Published
- 2016
- Full Text
- View/download PDF
37. A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels
- Author
-
Junli Wang, Matthew E. Colburn, Nelson Felix, Andreas Knorr, Tenko Yamashita, Charan V. V. S. Surisetty, Peter Zeitzoff, Dinesh Gupta, Y. Xu, Su Chen Fan, D. Park, Xin Miao, R. Divakaruni, Scott C. Johnson, Hiroaki Niimi, S. Lian, Balasubramanian S. Pranatharthi Haran, Andre Labonte, Eric R. Miller, Richard A. Conti, Shogo Mochizuki, Zhenxing Bi, M. Mottura, Bhagawan Sahu, Chengyu Niu, Donald F. Canaperi, John R. Sporre, James J. Demarest, Spyridon Skordas, Vamsi Paruchuri, Praneet Adusumilli, Seng Luan Lee, Lars W. Liebmann, Christopher Prindle, Walter Kleemeier, Oleg Gluschenkov, Peng Xu, Hemanth Jagannathan, Pietro Montanini, Rohit Galatage, Jody A. Fronheiser, Ruilong Xie, P. Oldiges, Neeraj Tripathi, Abraham Arceo, F. Lie, Robin Chao, Zuoguang Liu, D. Corliss, Stuart A. Sieg, Vimal Kamineni, Lee Choonghyun, Jeffrey C. Shearer, C. Labelle, J. Zhang, S. Kanakasabapathy, Stan D. Tsai, James Chingwei Li, Soon-Cheon Seo, H. Chen, H. P. Amanapu, Min Gyu Sung, Mark Raymond, Huiming Bu, Andrew M. Greene, Kisup Chung, Kerem Akarvardar, Sanjay Mehta, Richard G. Southwick, Chanro Park, C.-C. Yeh, John C. Arnold, K. Cheon, Myung-Hee Na, Mukesh Khare, Jungho Cha, Shariq Siddiqui, S. Whang, Lei Sun, Theodorus E. Standaert, Derren N. Dunn, Bassem Hamieh, T. Gow, Ki-chul Kim, Nicolas Loubet, Muthumanickam Sankarapandian, and Terence B. Hook
- Subjects
010302 applied physics ,Very-large-scale integration ,Materials science ,Silicon ,business.industry ,Extreme ultraviolet lithography ,chemistry.chemical_element ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,law.invention ,chemistry ,law ,Logic gate ,0103 physical sciences ,Multiple patterning ,Optoelectronics ,Photolithography ,0210 nano-technology ,business ,Lithography ,Next-generation lithography - Abstract
We present a 7nm technology with the tightest contacted poly pitch (CPP) of 44/48nm and metallization pitch of 36nm ever reported in FinFET technology. To overcome optical lithography limits, Extreme Ultraviolet Lithography (EUV) has been introduced for multiple critical levels for the first time. Dual strained channels have been also implemented to enhance mobility for high performance applications.
- Published
- 2016
- Full Text
- View/download PDF
38. Suitability of InP DHBTs in ET/APT Systems
- Author
-
Divya Gamini, Denny Limanto, Kathy Muhonen, James Chingwei Li, Brian Moser, and Peter J. Zampardi
- Subjects
010302 applied physics ,Materials science ,Envelope Tracking ,business.industry ,Context (language use) ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Gallium arsenide ,chemistry.chemical_compound ,chemistry ,0103 physical sciences ,Electronic engineering ,Indium phosphide ,Optoelectronics ,Wireless systems ,Radio frequency ,0210 nano-technology ,business ,Voltage - Abstract
InP DHBTs are receiving a great deal of attention for its potential in the yet, undefined, 5G systems. In this paper, "waterfall" curves are evaluated for InP DHBTs at 900MHz and show that it can provide an improvement in PAE at low voltages (10% better than GaAs or SiGe HBTs). RF Knee MAG/MSG metrics at 5.4GHz and 15.4 GHz as compared to GaAs HBTs were also measured. These measurements indicate in some bias regions, InP can provide a large advantage in RF Gain compared to GaAs. These results demonstrate that InP DHBTs have significant potential for envelope tracking (ET) and average power tracking (APT) at conventional frequencies (
- Published
- 2016
- Full Text
- View/download PDF
39. Final Report of a CRADA Between Pacific Northwest National Laboratory and Cummins, Incorporated (CRADA No.PNNL/283): 'Enhanced High and Low Temperature Performance of NOx Reduction Catalyst Materials'
- Author
-
Neal W. Currier, Aleksey Yezerets, Krishna Kamasamudram, Randy Stafford, Hailong Chen, James Chingwei Li, Ken Howden, Ashok Kumar, Yong Wang, Janos Szanyi, Jing-Jia Luo, Yilin Wang, Charles Hf Peden, and Feng Gao
- Subjects
Reduction (complexity) ,Engineering ,Waste management ,business.industry ,Nanotechnology ,National laboratory ,business ,NOx ,Catalysis - Published
- 2016
- Full Text
- View/download PDF
40. A cost effective 32nm high-K/metal gate CMOS technology for low power applications with single-metal/gate-first process
- Author
-
Arifuzzaman (Arif) Sheikh, J. Chen, Michael V. Aquilino, Mukesh Khare, James Chingwei Li, Weipeng Li, X. Chen, Laegu Kang, G. Massey, J. Sudijono, An L. Steegen, Vijay Narayanan, Jin-Ping Han, M. Zaleski, Rashmi Jha, Haoren Zhuang, M. Chowdhury, C. Reddy, Douglas D. Coolbaugh, Yi-Wei Lee, Michael P. Chudzik, Kenneth J. Stein, Zhenrong Jin, Shesh Mani Pandey, D. Tekleab, S. Samavedam, Christopher V. Baiocco, Haining Yang, Deleep R. Nair, JiYeon Ku, Chandrasekharan Kothandaraman, Craig S. Lage, Jaeger Daniel, R. Mo, C. Hobbs, S. Kalpat, Da Zhang, Naim Moumen, Nam-Sung Kim, S. Kirshnan, J. Wallner, X. Wang, R. Lindsay, Melanie J. Sherony, Aaron Thean, and Young Way Teh
- Subjects
Materials science ,business.industry ,Transistor ,Electrical engineering ,law.invention ,PMOS logic ,CMOS ,law ,Low-power electronics ,Logic gate ,business ,Metal gate ,NMOS logic ,Leakage (electronics) - Abstract
For the first time, we have demonstrated a 32nm high-k/metal gate (HK-MG) low power CMOS platform technology with low standby leakage transistors and functional high-density SRAM with a cell size of 0.157 μm2. Record NMOS/PMOS drive currents of 1000/575 μA/μm, respectively, have been achieved at 1 nA/μm off-current and 1.1V Vdd with a low cost process. With this high performance transistor, Vdd can be further scaled to 1.0V for active power reduction. Through aggressive EOT scaling and band-edge work-function metal gate stacks, appropriate Vts and superior short channel control has been achieved for both NMOS and PMOS at L gate=30nm. Compared to SiON-Poly, 30% RO delay reduction has been demonstrated with HK-MG devices. 40% Vt mismatch reduction has been shown with the Tinv scaling. Furthermore, it has been shown that the 1/f noise and transistor reliability exceed the technology requirements. © 2008 IEEE.
- Published
- 2016
- Full Text
- View/download PDF
41. FINFET technology featuring high mobility SiGe channel for 10nm and beyond
- Author
-
Kerem Akarvardar, K-Y Lim, R. Mo, Bruce B. Doris, Richard G. Southwick, Muthumanickam Sankarapandian, F. Lie, Dechao Guo, Bhagawan Sahu, Huiming Bu, Stuart A. Sieg, Chun Wing Yeung, Junli Wang, Andreas Knorr, Tenko Yamashita, John R. Sporre, Matthew E. Colburn, Nelson Felix, Jody A. Fronheiser, D. K. Sadana, Neeraj Tripathi, Jay W. Strane, R. Divakaruni, P. Oldiges, Gauri Karve, Derrick Liu, T. Hook, Shogo Mochizuki, Nicolas Loubet, Sean D. Burns, Vijay Narayanan, Rajasekhar Venigalla, James Chingwei Li, Pouya Hashemi, Dinesh Gupta, Koji Watanabe, James J. Demarest, Victor Chan, Ruqiang Bao, S. Kanakasabapathy, Robert R. Robison, Mukesh Khare, Stephen W. Bedell, Pietro Montanini, Hemanth Jagannathan, Vamsi Paruchuri, Gen Tsutsui, Kangguo Cheng, James H. Stathis, James J. Kelly, Reinaldo A. Vega, Jacob Ajey Poovannummoottil, and Miaomiao Wang
- Subjects
010302 applied physics ,business.industry ,Computer science ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,02 engineering and technology ,021001 nanoscience & nanotechnology ,01 natural sciences ,Reliability (semiconductor) ,CMOS ,0103 physical sciences ,Hardware_INTEGRATEDCIRCUITS ,Node (circuits) ,0210 nano-technology ,business ,Technology insertion ,Communication channel - Abstract
SiGe for channel material has been explored as a major technology element after the introduction of FINFET into CMOS technology [1–4]. Research on long channel FETs and discrete short channel FETs demonstrated benefits in mobility [1–4] and reliability [2]. Given the disruption that SiGe FIN brings, every aspect associated with SiGe FIN needs to be carefully studied towards technology insertion. In this paper, we report the latest SiGe-based FINFET CMOS technology development. CMOS FINFETs with Si-FIN nFET and SiGe-FIN pFET is demonstrated as a viable technology solution for both server and mobile applications at 10nm node and beyond.
- Published
- 2016
- Full Text
- View/download PDF
42. Ti and NiPt/Ti liner silicide contacts for advanced technologies
- Author
-
Praneet Adusumilli, B. Zhang, Chanro Park, B. Liu, Jin Cai, Balasubramanian S. Pranatharthi Haran, J. J. An, D. Ferrer, E. Engbrecht, Ahmet S. Ozcan, Hiroaki Niimi, R. Divakaruni, Y. Yan, R. Bolam, Huiming Bu, F. Chafik, Bruce B. Doris, S. Stiffler, Dechao Guo, B. Morgenfeld, Henry K. Utomo, Nicolas Loubet, N. Zhan, D. Hilscher, Jeffrey C. Shearer, W. Henson, C. Tran, C-H. Lin, James Chingwei Li, M. Oh, Hemanth Jagannathan, Jody A. Fronheiser, D. Kang, Ruilong Xie, T. Nesheiwat, Zuoguang Liu, Ravikumar Ramachandran, S. Allen, Walter Kleemeier, Oleg Gluschenkov, J. Rice, R. Lallement, Christian Lavoie, Jiseok Kim, Nicolas Breil, Siyuranga O. Koswatta, Emre Alptekin, C. Goldberg, Noah Zamdmer, Shogo Mochizuki, Veeraraghavan S. Basker, Gen Tsutsui, Keith Kwong Hon Wong, S. Fan, N. Makela, S. Jain, James J. Demarest, Christopher D. Sheraw, C.-C. Yeh, Mark Raymond, Anil Kumar, Yoo-Mi Lee, Vamsi Paruchuri, V. Sardesai, Vimal Kamineni, Woo-Hyeong Lee, Y. Ke, M. Yu, Andre Labonte, Tenko Yamashita, C. Niu, and S. Narasimha
- Subjects
010302 applied physics ,Materials science ,Dopant ,business.industry ,02 engineering and technology ,021001 nanoscience & nanotechnology ,Epitaxy ,01 natural sciences ,chemistry.chemical_compound ,chemistry ,0103 physical sciences ,Silicide ,Electronic engineering ,Optoelectronics ,Node (circuits) ,0210 nano-technology ,business - Abstract
We discuss the transition to Ti based silicides for source-drain (SD) contacts for 3D FinFET devices starting from the 14nm node & beyond. Reductions in n-FET & p-FET contact resistances are reported with the optimization of metallization process & dopant concentrations. The optimization of SiGe epitaxy and addition of a thin interfacial NiPt(10%) are found to significantly improve p-FET contact performance.
- Published
- 2016
- Full Text
- View/download PDF
43. Broadband Noise Performance of Heterogeneously Integrated InP BiCMOS DHBTs
- Author
-
Joseph C. Bardin, James Chingwei Li, Zachariah Boynton, Metin Ayata, and Ahmet Hakan Coskun
- Subjects
Materials science ,CMOS ,Broadband noise ,Bicmos process ,Electronic engineering ,Flicker noise ,Electrical and Electronic Engineering ,BiCMOS ,Noise (electronics) ,Electronic, Optical and Magnetic Materials - Abstract
The noise performance of an InP BiCMOS process is presented. The process builds on IBM 90-nm RF CMOS and features heterogeneously integrated 250-nm InP DHBTs with f t /fmax values of ~300 GHz. Noise models have been extracted and the predicted performance agrees well with measurement. The results indicate that this technology is an excellent option for future millimeter-wave low-noise applications.
- Published
- 2014
- Full Text
- View/download PDF
44. Microstructure Modulation in Copper Interconnects
- Author
-
Daniel C. Edelstein, Robert Rosenberg, Frieder H. Baumann, James Chingwei Li, Baozhen Li, and C.-C. Yang
- Subjects
Materials science ,Passivation ,Annealing (metallurgy) ,Metallurgy ,chemistry.chemical_element ,Microstructure ,Copper ,Electromigration ,Grain size ,Electronic, Optical and Magnetic Materials ,Grain growth ,chemistry ,Stress migration ,Electrical and Electronic Engineering - Abstract
Modulation of Cu interconnect microstructure in a low-k dielectric was achieved at an elevated anneal temperature of 250 °C. In contrast to the unpassivated conventional structure, a TaN metal passivation layer was deposited on the plated Cu overburden surface before annealing at the elevated temperature to prevent stress migration reliability degradation. As compared with the conventional structure annealed at 100 °C, the elevated annealing process enabled further Cu grain growth, which then resulted in an increased Cu grain size and improved electromigration resistance in the interconnects.
- Published
- 2014
- Full Text
- View/download PDF
45. (Invited) Epitaxial Growth of Si:C Alloys: Process Development and Challenges
- Author
-
Eric C. T. Harley, Z. Zhu, Judson R. Holt, Matthew W. Stoker, R. Takalkar, L. Black, Rainer Loesing, A. Chakravarti, F. Yang, Dominic J. Schepis, James Chingwei Li, Xiaolin Chen, R. Murphy, Anita Madan, Thomas N. Adam, and Abhishek Dube
- Subjects
Materials science ,Process development ,technology, industry, and agriculture ,equipment and supplies ,Epitaxy ,Engineering physics - Abstract
Uniaxial tensile strain in the channel enhances electron mobility and hence the drive current in an N-type field-effect transistor (NFET). For enhancement of NFET drive current via channel strain, the incorporation of embedded silicon carbon (eSi:C) alloys in the source/drain region has been investigated extensively. We have explored epitaxially grown embedded Si:C alloys for NFET source / drain stressor. The use of epitaxially grown intrinsic Si:C has a significant disadvantage due to incompatability with downstream processing. In situ phosphorus doped Si:C shows much more promise, especially from a strain retention perspective post growth.
- Published
- 2010
- Full Text
- View/download PDF
46. 100 GHz+ Gain-Bandwidth Differential Amplifiers in a Wafer Scale Heterogeneously Integrated Technology Using 250 nm InP DHBTs and 130 nm CMOS
- Author
-
Yakov Royter, Joseph F. Jensen, Tahir Hussain, James Chingwei Li, D.S. Matthews, Pamela R. Patterson, Daniel Zehnder, Donald A. Hitko, and K.R. Elliott
- Subjects
Wafer-scale integration ,Materials science ,business.industry ,Amplifier ,Buffer amplifier ,Electrical engineering ,Differential amplifier ,Slew rate ,Hardware_PERFORMANCEANDRELIABILITY ,chemistry.chemical_compound ,chemistry ,CMOS ,Hardware_INTEGRATEDCIRCUITS ,Indium phosphide ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
Differential amplifiers incorporating the advantages of both Si and III-V technologies have been fabricated in a wafer scale, heterogeneously integrated, process using both 250 nm InP DHBTs and 130 nm CMOS. These ICs demonstrated gain-bandwidth product of 40-130 GHz and low frequency gain > 45 dB . The use of InP DHBTs supports a > 6.9 V differential output swing and a slew rate > 4 times 104V/mus to be achieved with as low as 40 mW dissipated power. A novel on-chip buffer circuit is used to facilitate the on-wafer characterization of these amplifiers. To the authors' knowledge, this is the first demonstration of a high performance IC building block in a heterogeneously integrated process technology.
- Published
- 2009
- Full Text
- View/download PDF
47. Integration of Compound Semiconductor Devices and CMOS (CoSMOS) with Die to Wafer Bonding
- Author
-
James Chingwei Li, Pamela R. Patterson, Yakov Royter, Tahir Hussain, and Kenneth Elliot
- Subjects
Wire bonding ,Materials science ,Adhesive bonding ,Wafer bonding ,business.industry ,Bipolar junction transistor ,Electrical engineering ,Hardware_PERFORMANCEANDRELIABILITY ,Integrated circuit ,Die (integrated circuit) ,law.invention ,Die preparation ,CMOS ,Hardware_GENERAL ,law ,Hardware_INTEGRATEDCIRCUITS ,Optoelectronics ,business ,Hardware_LOGICDESIGN - Abstract
On the Compound Semiconductor Devices and CMOS (CoSMOS) program, HRL Laboratories, LLC is developing technology for intimate integration of silicon complementary metal-oxide- semiconductor (CMOS) devices with 400 GHz InP heterogeneous bipolar transistor (HBTs) to form complex integrated circuits. This research is investigating innovative microfabrication approaches, including adhesive bonding techniques, for transistor-scale integration of compound semiconductor and silicon-based transistors. We have successfully fabricated large area HBT's on thin (~1 µm) InP epitaxial layers transferred to silicon substrates with a die to wafer bonding process that preserves the growth orientation of the epitaxial layers bonded to the target substrate.
- Published
- 2008
- Full Text
- View/download PDF
48. Reduced temperature S-parameter measurements of 400+GHz sub-micron InP DHBTs
- Author
-
Donald A. Hitko, Rajesh D. Rajavel, Marko Sokolich, Peter M. Asbeck, James Chingwei Li, Tahir Hussain, Ivan Milosavljevic, Stephen Thomas, Yakov Royter, and Charles H. Fields
- Subjects
business.industry ,Chemistry ,Heterojunction bipolar transistor ,Thermal resistance ,Electrical engineering ,Atmospheric temperature range ,Condensed Matter Physics ,Temperature measurement ,Electronic, Optical and Magnetic Materials ,Velocity overshoot ,Materials Chemistry ,Optoelectronics ,Junction temperature ,Electrical and Electronic Engineering ,business ,Power density ,Common emitter - Abstract
The high operating power density and aggressively scaled geometries associated with 400+ GHz InP-Based DHBTs present a new challenge in device design and thermal management. In order to assess the effects of self-heating on the RF performance, S -parameters of six InP DHBTs with varying emitter dimensions were measured over a 75 °C ambient temperature range. An 8–10% increase in peak f T is observed as the temperature is reduced. Data analysis indicates that reductions in the base and collector transit times and the base–emitter charging times are responsible for the peak f T improvement. The calculated electron velocities exceed 6 × 10 7 cm/s, indicating velocity overshoot plays a critical role in the reduction of the transit times. When emitter scaling are considered, the total transit time variation is directly correlated to the rise in junction temperature. Using previously measured thermal resistance values, a 77–116 °C minimum junction temperature rise is estimated from self-heating. Therefore, the 8–10% increase in peak f T is a reasonable estimate of the performance to be recovered by minimizing self-heating. Improved intra-device thermal management through device design is an important supplement to geometry scaling as a means to enhance device performance.
- Published
- 2007
- Full Text
- View/download PDF
49. Investigation Into the Scalability of Selectively Implanted Buried Subcollector (SIBS) for Submicrometer InP DHBTs
- Author
-
Tahir Hussain, Marko Sokolich, Steven S. Bui, Peter M. Asbeck, Rajesh D. Rajavel, Yakov Royter, James Chingwei Li, Binqiang Shi, Charles H. Fields, Donald A. Hitko, Mary Y. Chen, and David H. Chow
- Subjects
Materials science ,Equivalent series resistance ,business.industry ,Bipolar junction transistor ,Electrical engineering ,Heterojunction ,Semiconductor device ,Dopant Activation ,Capacitance ,Electronic, Optical and Magnetic Materials ,chemistry.chemical_compound ,chemistry ,Parasitic element ,Indium phosphide ,Optoelectronics ,Electrical and Electronic Engineering ,business - Abstract
Recent attempts to achieve 400 GHz or higher fT and f MAX with InP heterojunction bipolar transistors (HBTs) have resulted in aggressive scaling into the deep submicrometer regime. In order to alleviate some of the traditional mesa scaling rules, several groups have explored selectively implanted buried subcollectors (SIBS) as a means to decouple the intrinsic and extrinsic collector design. This allows tauC to be minimized without incurring a large total CBC increase, and hence, a net improvement in fT and fMAX is achieved. This paper represents the first investigation into the series resistance and capacitance characteristics of submicrometer-width SIBS regions (as narrow as 350 nm) for InP double HBTs. Although the SIBS resistance is higher than that of epitaxially grown layers, the SIBS concept is able to provide good dopant activation and a significant decrease in CBC. S-parameter measurements are presented to clarify the impact of SIBS geometry variations, caused by both intentional device design and process variations, on fT and fMAX. Parasitic resistances and high background doping limit the fT improvement, but the CBC reduction is sufficient to demonstrate a 30% increase in fMAX. Results indicate that further improvements in fT and fMAX using the SIBS concept will be possible
- Published
- 2007
- Full Text
- View/download PDF
50. 200GHz InP DHBT technology using selectively implanted buried sub-collector (SIBS) for broadband amplifiers
- Author
-
Stephen Thomas, Marko Sokolich, J. Duvall, Binqiang Shi, Rajesh D. Rajavel, Steven S. Bui, David H. Chow, Donald A. Hitko, James Chingwei Li, Keith V. Guinn, Mary Y. Chen, and Z. Lao
- Subjects
Engineering ,Differential gain ,business.industry ,Circuit design ,Heterojunction bipolar transistor ,Direct current ,Bipolar junction transistor ,Electrical engineering ,Condensed Matter Physics ,Capacitance ,Electronic, Optical and Magnetic Materials ,Rise time ,Materials Chemistry ,Optoelectronics ,Wafer ,Electrical and Electronic Engineering ,business - Abstract
Traditional compound semiconductor HBT technologies do not allow for the independent design of the intrinsic and extrinsic collector regions commonly found in Si BJT and SiGe HBT technologies. By using a selectively implanted buried sub-collector (SIBS) to optimize the intrinsic collector for reduced transit time and extrinsic collector for reduced capacitance, InP DHBTs technologies can finally have the same flexibility. An improved 200 GHz InP DHBT technology for broadband amplifiers with good forward Gummel characteristics and a DC current gain exceeding 100 is presented. SIBS also allows the fabrication of two types of HBTs, one with a peak fT/fMAX of 208 GHz/225 GHz at IC = 26.2 mA and one with a peak fT/fMAX of 148 GHz/237 GHz at IC = 16.6 mA, on a single wafer, giving the circuit designer additional flexibility. A state of the art traveling wave amplifier with a 61 GHz 3 dB-bandwidth, 29 dB differential gain, and only 2.5 W total power dissipation is presented. At a 45 Gb/s data rate, a 11 V differential output swing is demonstrated while maintaining
- Published
- 2007
- Full Text
- View/download PDF
Catalog
Discovery Service for Jio Institute Digital Library
For full access to our library's resources, please sign in.